OpenCores
URL https://opencores.org/ocsvn/pdp8/pdp8/trunk

Subversion Repositories pdp8

[/] [pdp8/] [trunk/] [pdp8/] [dk8e_types.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 trurl
-------------------------------------------------------------------
2
--!
3
--! PDP-8 Processor
4
--!
5
--! \brief
6
--!      DK8E Real Time Clock Type Definitions
7
--!
8
--! \details
9
--!      This package defines some type information that is
10
--!      required to use the DK8E Real Time Clock device.
11
--!
12
--! \file
13
--!      dk8e_types.vhd
14
--!
15
--! \author
16
--!      Rob Doyle - doyle (at) cox (dot) net
17
--!
18
--------------------------------------------------------------------
19
--
20
--  Copyright (C) 2012 Rob Doyle
21
--
22
-- This source file may be used and distributed without
23
-- restriction provided that this copyright statement is not
24
-- removed from the file and that any derivative work contains
25
-- the original copyright notice and the associated disclaimer.
26
--
27
-- This source file is free software; you can redistribute it
28
-- and/or modify it under the terms of the GNU Lesser General
29
-- Public License as published by the Free Software Foundation;
30
-- version 2.1 of the License.
31
--
32
-- This source is distributed in the hope that it will be
33
-- useful, but WITHOUT ANY WARRANTY; without even the implied
34
-- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR
35
-- PURPOSE. See the GNU Lesser General Public License for more
36
-- details.
37
--
38
-- You should have received a copy of the GNU Lesser General
39
-- Public License along with this source; if not, download it
40
-- from http://www.gnu.org/licenses/lgpl.txt
41
--
42
--------------------------------------------------------------------
43
--
44
-- Comments are formatted for doxygen
45
--
46
 
47
library ieee;                                                   --! IEEE Library
48
use ieee.std_logic_1164.all;                                    --! IEEE 1164
49
use work.dev_types.all;                                         --! Dev Types
50
 
51
--
52
--! DK8E Real Time Clock Device Type Package
53
--
54
 
55
package dk8e_types is
56
 
57
    --!
58
    --! Types
59
    --!
60
 
61
    subtype  swRTC_t    is std_logic_vector(0 to 2);            --! RTC configuration type
62
    subtype  schmitt_t  is std_logic_vector(0 to 2);            --! Schmitt Trigger Inputs type
63
 
64
    --!
65
    --! Real Time Device Number
66
    --!
67
 
68
    constant rtcdevNUM  : devNUM_t := o"13";                    --! 613x
69
 
70
    --!
71
    --! Real Time Clock IOTs DK8-EA/DK8-EC
72
    --!
73
 
74
  --constant opCLEI     : devOP_t := o"1";                      --! Enable Interrupt
75
  --constant opCLDI     : devOP_t := o"1";                      --! Disable Interrupt
76
  --constant opCLSK     : devOP_t := o"3";                      --! Skip on Clock Flag
77
 
78
    --!
79
    --! Real Time Clock IOTs DK8-EP
80
    --!
81
 
82
    constant opCLZE     : devOP_t := o"0";                      --! Clear Clock Enable Register
83
    constant opCLSK     : devOP_t := o"1";                      --! Skip on Clock Interrupt
84
    constant opCLDE     : devOP_t := o"2";                      --! Set Clock Enable Register
85
    constant opCLAB     : devOP_t := o"3";                      --! AC to Clock Buffer
86
    constant opCLEN     : devOP_t := o"4";                      --! Load Clock Enable Register
87
    constant opCLSA     : devOP_t := o"5";                      --! Clock Status to AC
88
    constant opCLBA     : devOP_t := o"6";                      --! Clock Buffer to AC
89
    constant opCLCA     : devOP_t := o"7";                      --! Clock Counter to AC
90
 
91
    --!
92
    --! Real Time Clock Configuation
93
    --!
94
 
95
    constant clkDK8EA1  : swRTC_t := "000";                     --! DK8-EA, 100Hz (50Hz Power)
96
    constant clkDK8EA2  : swRTC_t := "001";                     --! DK8-EA, 120Hz (60Hz Power)
97
    constant clkDK8EC1  : swRTC_t := "010";                     --! DK8-EC, 1  Hz
98
    constant clkDK8EC2  : swRTC_t := "011";                     --! DK8-EC, 50 Hz
99
    constant clkDK8EC3  : swRTC_t := "100";                     --! DK8-EC, 500Hz
100
    constant clkDK8EC4  : swRTC_t := "101";                     --! DK8-EC, 5KHz 
101
    constant clkDK8EP   : swRTC_t := "110";                     --! DK8-EP, VAR
102
    constant clkDK8ES   : swRTC_t := "111";                     --! DK8-ES, VAR
103
 
104
end dk8e_types;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.