OpenCores
URL https://opencores.org/ocsvn/pdp8/pdp8/trunk

Subversion Repositories pdp8

[/] [pdp8/] [trunk/] [pdp8/] [kc8e_types.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 trurl
-------------------------------------------------------------------
2
--!
3
--! PDP-8 Processor
4
--!
5
--! \brief
6
--!      KC8E Front Panel Interface Type Definitions
7
--!
8
--! \details
9
--!      This package contains all the type information that is
10
--!      required to use the KC8E Front Panel device.
11
--!
12
--! \file
13
--!      kc8e_types.vhd
14
--!
15
--! \author
16
--!      Rob Doyle - doyle (at) cox (dot) net
17
--!
18
--------------------------------------------------------------------
19
--
20
--  Copyright (C) 2009 Rob Doyle
21
--
22
-- This source file may be used and distributed without
23
-- restriction provided that this copyright statement is not
24
-- removed from the file and that any derivative work contains
25
-- the original copyright notice and the associated disclaimer.
26
--
27
-- This source file is free software; you can redistribute it
28
-- and/or modify it under the terms of the GNU Lesser General
29
-- Public License as published by the Free Software Foundation;
30
-- version 2.1 of the License.
31
--
32
-- This source is distributed in the hope that it will be
33
-- useful, but WITHOUT ANY WARRANTY; without even the implied
34
-- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR
35
-- PURPOSE. See the GNU Lesser General Public License for more
36
-- details.
37
--
38
-- You should have received a copy of the GNU Lesser General
39
-- Public License along with this source; if not, download it
40
-- from http://www.gnu.org/licenses/lgpl.txt
41
--
42
--------------------------------------------------------------------
43
--
44
-- Comments are formatted for doxygen
45
--
46
 
47
library ieee;
48
use ieee.std_logic_1164.all;
49
use work.dev_types.all;
50
 
51
--
52
--! Type definitions required for KC8E front panel
53
--
54
 
55
package kc8e_types is
56
 
57
    --!
58
    --! Types
59
    --!
60
 
61
    subtype  swROT_t    is std_logic_vector(0 to 2);            --! Rotary Switch type
62
 
63
    --!
64
    --! Switch Register IOTs
65
    --!
66
 
67
    constant insWSR     : devADDR_t := o"6246";                 --! Write Switch Register
68
    constant insOSR     : devADDR_t := o"7404";                 --! OR Switch Register into AC
69
    constant insLAS     : devADDR_t := o"7604";                 --! Load Switch Register into AC
70
 
71
    --!
72
    --! Front Panel Rotary Switch
73
    --!
74
 
75
    constant dispPC     : swROT_t := "000";                     --! Display PC
76
    constant dispAC     : swROT_t := "001";                     --! Display AC
77
    constant dispIR     : swROT_t := "010";                     --! Display IR
78
    constant dispMA     : swROT_t := "011";                     --! Display MA
79
    constant dispMD     : swROT_t := "100";                     --! Display MD
80
    constant dispMQ     : swROT_t := "101";                     --! Display MQ
81
    constant dispST     : swROT_t := "110";                     --! Display ST
82
    constant dispSC     : swROT_t := "111";                     --! Display SC
83
 
84
end kc8e_types;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.