OpenCores
URL https://opencores.org/ocsvn/pdp8/pdp8/trunk

Subversion Repositories pdp8

[/] [pdp8/] [trunk/] [pdp8/] [kl8e_types.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 trurl
-------------------------------------------------------------------
2
--!
3
--! PDP-8 Processor
4
--!
5
--! \brief
6
--!      KL8E Serial Interface Type Definitions
7
--!
8
--! \details
9
--!      This package contains all the type information that is
10
--!      required to use the KL8E Serial Interface device.
11
--!
12
--! \file
13
--!      kl8e_types.vhd
14
--!
15
--! \author
16
--!      Rob Doyle - doyle (at) cox (dot) net
17
--!
18
--------------------------------------------------------------------
19
--
20
--  Copyright (C) 2009, 2010, 2011 Rob Doyle
21
--
22
-- This source file may be used and distributed without
23
-- restriction provided that this copyright statement is not
24
-- removed from the file and that any derivative work contains
25
-- the original copyright notice and the associated disclaimer.
26
--
27
-- This source file is free software; you can redistribute it
28
-- and/or modify it under the terms of the GNU Lesser General
29
-- Public License as published by the Free Software Foundation;
30
-- version 2.1 of the License.
31
--
32
-- This source is distributed in the hope that it will be
33
-- useful, but WITHOUT ANY WARRANTY; without even the implied
34
-- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR
35
-- PURPOSE. See the GNU Lesser General Public License for more
36
-- details.
37
--
38
-- You should have received a copy of the GNU Lesser General
39
-- Public License along with this source; if not, download it
40
-- from http://www.gnu.org/licenses/lgpl.txt
41
--
42
--------------------------------------------------------------------
43
--
44
-- Comments are formatted for doxygen
45
--
46
 
47
library ieee;
48
use ieee.std_logic_1164.all;
49
use work.uart_types.all;                        --! UART Types
50
use work.dev_types.all;                         --! Device Types
51
 
52
--
53
--! Type definitions required for KL8E.
54
--
55
 
56
package kl8e_types is
57
 
58
    --!
59
    --! TTY Device Numbers
60
    --!
61
 
62
    constant tty1devNUM : devNUM_t := o"03";    --! 603x, 604x
63
    constant tty2devNUM : devNUM_t := o"40";    --! 640x, 641x
64
    constant lprdevNUM  : devNUM_t := o"65";    --! 665x, 666x
65
 
66
    --!
67
    --! Keyboard IOTs 
68
    --!
69
 
70
    constant opKCF      : devOP_t := o"0";      --! 6xx0 : Clear Keyboard Flag
71
    constant opKSF      : devOP_t := o"1";      --! 6xx1 : Skip On Keyboard Flag
72
    constant opKCC      : devOP_t := o"2";      --! 6xx2 : Clear Keyboard Flag, Clear AC
73
    constant opKRS      : devOP_t := o"4";      --! 6xx4 : OR Keyboard Character into AC
74
    constant opKIE      : devOP_t := o"5";      --! 6xx5 : Set/Clr Interrupt Enable
75
    constant opKRB      : devOP_t := o"6";      --! 6xx6 : Get Keyboard Character into AC, Clear Keyboard Flag
76
 
77
    --!
78
    --! Teleprinter IOTs
79
    --!
80
 
81
    constant opTFL      : devOP_t := o"0";      --! 6xx0: Set Tramsmit Flag
82
    constant opSPF      : devOP_t := o"0";      --! 6xx0: Set Printer Flag
83
    constant opTSF      : devOP_t := o"1";      --! 6xx1: Skip On Transmit Flag
84
    constant opTCF      : devOP_t := o"2";      --! 6xx2: Clear Transmit flag, but not the AC
85
    constant opTPC      : devOP_t := o"4";      --! 6xx4: Load AC into transmit buffer, but don't clear flag
86
    constant opTSK      : devOP_t := o"5";      --! 6xx5: Skip on keyboard/printer interrupt request
87
    constant opTLS      : devOP_t := o"6";      --! 6xx6: Load AC into transmit buffer and clear the flag
88
 
89
end kl8e_types;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.