OpenCores
URL https://opencores.org/ocsvn/pdp8/pdp8/trunk

Subversion Repositories pdp8

[/] [pdp8/] [trunk/] [pdp8/] [rk8e_types.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 trurl
-------------------------------------------------------------------
2
--!
3
--! PDP-8 Processor
4
--!
5
--! \brief
6
--!      RK8E Disk Controller Type Definitions
7
--!
8
--! \details
9
--!      This package defines some type information that is
10
--!      required to use the RK8E Disk Controller device.
11
--!
12
--! \file
13
--!      rk8e_types.vhd
14
--!
15
--! \author
16
--!      Rob Doyle - doyle (at) cox (dot) net
17
--!
18
--------------------------------------------------------------------
19
--
20
--  Copyright (C) 2011, 2012 Rob Doyle
21
--
22
-- This source file may be used and distributed without
23
-- restriction provided that this copyright statement is not
24
-- removed from the file and that any derivative work contains
25
-- the original copyright notice and the associated disclaimer.
26
--
27
-- This source file is free software; you can redistribute it
28
-- and/or modify it under the terms of the GNU Lesser General
29
-- Public License as published by the Free Software Foundation;
30
-- version 2.1 of the License.
31
--
32
-- This source is distributed in the hope that it will be
33
-- useful, but WITHOUT ANY WARRANTY; without even the implied
34
-- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR
35
-- PURPOSE. See the GNU Lesser General Public License for more
36
-- details.
37
--
38
-- You should have received a copy of the GNU Lesser General
39
-- Public License along with this source; if not, download it
40
-- from http://www.gnu.org/licenses/lgpl.txt
41
--
42
--------------------------------------------------------------------
43
--
44
-- Comments are formatted for doxygen
45
--
46
 
47
library ieee;                                   --! IEEE Library
48
use ieee.std_logic_1164.all;                    --! IEEE 1164
49
use work.dev_types.all;                         --! Device Types
50
use work.rk05_types.all;                        --! RK05 Types
51
use work.sd_types.all;                          --! SD Types
52
 
53
--
54
--! RK8E Disk Controller Type Definitions Package
55
--
56
 
57
package rk8e_types is
58
 
59
    --
60
    --! RK8E Status Type Definition
61
    --
62
 
63
    type rk8eSTAT_t is record
64
        sdCD     : std_logic;                           --! Secure Digital Card Detect
65
        sdWP     : std_logic;                           --! Secure Digital Write Protect
66
        rk05STAT : rk05STAT_tt;                         --! Array of RK05 Disk Status'
67
        sdSTAT   : sdSTAT_t;                            --! Secure Digital Device Status
68
    end record;
69
 
70
    --
71
    --! Device Numbers
72
    --
73
 
74
    constant rk8edevNUM : devNUM_t := o"74";            --! 674x
75
 
76
end rk8e_types;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.