OpenCores
URL https://opencores.org/ocsvn/plb2wbbridge/plb2wbbridge/trunk

Subversion Repositories plb2wbbridge

[/] [plb2wbbridge/] [trunk/] [systems/] [dev_system_sim/] [simulation/] [scripts/] [modelsim_proj.mpf] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 feddischso
; Copyright 1991-2009 Mentor Graphics Corporation
2
;
3
; All Rights Reserved.
4
;
5
; THIS WORK CONTAINS TRADE SECRET AND PROPRIETARY INFORMATION WHICH IS THE PROPERTY OF
6
; MENTOR GRAPHICS CORPORATION OR ITS LICENSORS AND IS SUBJECT TO LICENSE TERMS.
7
;
8
 
9
[Library]
10
others = $MODEL_TECH/../modelsim.ini
11
;vhdl_psl_checkers = $MODEL_TECH/../vhdl_psl_checkers       // Source files only for this release
12
;verilog_psl_checkers = $MODEL_TECH/../verilog_psl_checkers // Source files only for this release
13
;mvc_lib = $MODEL_TECH/../mvc_lib
14
 
15
secureip = c:/compxlib/secureip/
16
simprim = c:/compxlib/simprim/
17
simprims_ver = c:/compxlib/simprims_ver/
18
unisim = c:/compxlib/unisim/
19
unisims_ver = c:/compxlib/unisims_ver/
20
xilinxcorelib = c:/compxlib/xilinxcorelib/
21
xilinxcorelib_ver = c:/compxlib/xilinxcorelib_ver/
22
microblaze_v7_20_d = /opt/Xilinx/11.1/compxlib/edk/microblaze_v7_20_d/
23
proc_common_v3_00_a = c:/compxlib/edk/proc_common_v3_00_a/
24
plb_v46_v1_04_a = c:/compxlib/edk/plb_v46_v1_04_a/
25
lmb_v10_v1_00_a = /opt/Xilinx/11.1/compxlib/edk/lmb_v10_v1_00_a/
26
lmb_bram_if_cntlr_v2_10_b = /opt/Xilinx/11.1/compxlib/edk/lmb_bram_if_cntlr_v2_10_b/
27
lmb_bram_elaborate_v1_00_a = lmb_bram_elaborate_v1_00_a
28
plbv46_slave_single_v1_01_a = c:/compxlib/edk/plbv46_slave_single_v1_01_a/
29
interrupt_control_v2_01_a = /opt/Xilinx/11.1/compxlib/edk/interrupt_control_v2_01_a/
30
xps_gpio_v2_00_a = /opt/Xilinx/11.1/compxlib/edk/xps_gpio_v2_00_a/
31
xps_timer_v1_01_b = /opt/Xilinx/11.1/compxlib/edk/xps_timer_v1_01_b/
32
clock_generator_v3_02_a = /opt/Xilinx/11.1/compxlib/edk/clock_generator_v3_02_a/
33
mdm_v1_00_g = /opt/Xilinx/11.1/compxlib/edk/mdm_v1_00_g/
34
proc_sys_reset_v2_00_a = /opt/Xilinx/11.1/compxlib/edk/proc_sys_reset_v2_00_a/
35
xps_intc_v2_00_a = /opt/Xilinx/11.1/compxlib/edk/xps_intc_v2_00_a/
36
work = work
37
plbv46_master_bfm_v1_00_a = c:/compxlib/edk/plbv46_master_bfm_v1_00_a/
38
plbv46_monitor_bfm_v1_00_a = c:/compxlib/edk/plbv46_monitor_bfm_v1_00_a/
39
plbv46_slave_bfm_v1_00_a = c:/compxlib/edk/plbv46_slave_bfm_v1_00_a/
40
bfm_synch_v1_00_a = c:/compxlib/edk/bfm_synch_v1_00_a/
41
plbv46_bfm = c:/compxlib/edk/plbv46_bfm/
42
plb2wb_bridge_v1_00_a = plb2wb_bridge_v1_00_a
43
onchip_ram_v1_00_a = onchip_ram_v1_00_a
44
wb_conbus_v1_00_a = wb_conbus_v1_00_a
45
[vcom]
46
; VHDL93 variable selects language version as the default.
47
; Default is VHDL-2002.
48
; Value of 0 or 1987 for VHDL-1987.
49
; Value of 1 or 1993 for VHDL-1993.
50
; Default or value of 2 or 2002 for VHDL-2002.
51
; Value of 3 or 2008 for VHDL-2008
52
VHDL93 = 2002
53
 
54
; Show source line containing error. Default is off.
55
; Show_source = 1
56
 
57
; Turn off unbound-component warnings. Default is on.
58
; Show_Warning1 = 0
59
 
60
; Turn off process-without-a-wait-statement warnings. Default is on.
61
; Show_Warning2 = 0
62
 
63
; Turn off null-range warnings. Default is on.
64
; Show_Warning3 = 0
65
 
66
; Turn off no-space-in-time-literal warnings. Default is on.
67
; Show_Warning4 = 0
68
 
69
; Turn off multiple-drivers-on-unresolved-signal warnings. Default is on.
70
; Show_Warning5 = 0
71
 
72
; Turn off optimization for IEEE std_logic_1164 package. Default is on.
73
; Optimize_1164 = 0
74
 
75
; Turn on resolving of ambiguous function overloading in favor of the
76
; "explicit" function declaration (not the one automatically created by
77
; the compiler for each type declaration). Default is off.
78
; The .ini file has Explicit enabled so that std_logic_signed/unsigned
79
; will match the behavior of synthesis tools.
80
Explicit = 1
81
 
82
; Turn off acceleration of the VITAL packages. Default is to accelerate.
83
; NoVital = 1
84
 
85
; Turn off VITAL compliance checking. Default is checking on.
86
; NoVitalCheck = 1
87
 
88
; Ignore VITAL compliance checking errors. Default is to not ignore.
89
; IgnoreVitalErrors = 1
90
 
91
; Turn off VITAL compliance checking warnings. Default is to show warnings.
92
; Show_VitalChecksWarnings = 0
93
 
94
; Turn off PSL assertion warning messages. Default is to show warnings.
95
; Show_PslChecksWarnings = 0
96
 
97
; Enable parsing of embedded PSL assertions. Default is enabled.
98
; EmbeddedPsl = 0
99
 
100
; Keep silent about case statement static warnings.
101
; Default is to give a warning.
102
; NoCaseStaticError = 1
103
 
104
; Keep silent about warnings caused by aggregates that are not locally static.
105
; Default is to give a warning.
106
; NoOthersStaticError = 1
107
 
108
; Treat as errors:
109
;   case statement static warnings
110
;   warnings caused by aggregates that are not locally static
111
; Overrides NoCaseStaticError, NoOthersStaticError settings.
112
; PedanticErrors = 1
113
 
114
; Turn off inclusion of debugging info within design units.
115
; Default is to include debugging info.
116
; NoDebug = 1
117
 
118
; Turn off "Loading..." messages. Default is messages on.
119
; Quiet = 1
120
 
121
; Turn on some limited synthesis rule compliance checking. Checks only:
122
;    -- signals used (read) by a process must be in the sensitivity list
123
; CheckSynthesis = 1
124
 
125
; Activate optimizations on expressions that do not involve signals,
126
; waits, or function/procedure/task invocations. Default is off.
127
; ScalarOpts = 1
128
 
129
; Turns on lint-style checking.
130
; Show_Lint = 1
131
 
132
; Require the user to specify a configuration for all bindings,
133
; and do not generate a compile time default binding for the
134
; component. This will result in an elaboration error of
135
; 'component not bound' if the user fails to do so. Avoids the rare
136
; issue of a false dependency upon the unused default binding.
137
; RequireConfigForAllDefaultBinding = 1
138
 
139
; Perform default binding at compile time.
140
; Default is to do default binding at load time.
141
; BindAtCompile = 1;
142
 
143
; Inhibit range checking on subscripts of arrays. Range checking on
144
; scalars defined with subtypes is inhibited by default.
145
; NoIndexCheck = 1
146
 
147
; Inhibit range checks on all (implicit and explicit) assignments to
148
; scalar objects defined with subtypes.
149
; NoRangeCheck = 1
150
 
151
; Run the 0-in compiler on the VHDL source files
152
; Default is off.
153
; ZeroIn = 1
154
 
155
; Set the options to be passed to the 0-in compiler.
156
; Default is "".
157
; ZeroInOptions = ""
158
 
159
; Turn on code coverage in VHDL design units. Default is off.
160
; Coverage = sbceft
161
 
162
; Turn off code coverage in VHDL subprograms. Default is on.
163
; CoverageSub = 0
164
 
165
; Automatically exclude VHDL case statement OTHERS choice branches.
166
; This includes OTHERS choices in selected signal assigment statements.
167
; Default is to not exclude.
168
; CoverExcludeDefault = 1
169
 
170
; Control compiler and VOPT optimizations that are allowed when
171
; code coverage is on.  Refer to the comment for this in the [vlog] area.
172
; CoverOpt = 3
173
 
174
; Inform code coverage optimizations to respect VHDL 'H' and 'L'
175
; values on signals in conditions and expressions, and to not automatically
176
; convert them to '1' and '0'. Default is to not convert.
177
; CoverRespectHandL = 0
178
 
179
; Increase or decrease the maximum number of rows allowed in a UDP table
180
; implementing a VHDL condition coverage or expression coverage expression.
181
; More rows leads to a longer compile time, but more expressions covered.
182
; CoverMaxUDPRows = 192
183
 
184
; Increase or decrease the maximum number of input patterns that are present
185
; in FEC table. This leads to a longer compile time with more expressions
186
; covered with FEC metric.
187
; CoverMaxFECRows = 192
188
 
189
; Enable or disable Focused Expression Coverage analysis for conditions and
190
; expressions. Focused Expression Coverage data is provided by default when
191
; expression and/or condition coverage is active.
192
; CoverFEC = 0
193
 
194
; Enable or disable short circuit evaluation of conditions and expressions when
195
; condition or expression coverage is active. Short circuit evaluation is enabled
196
; by default.
197
; CoverShortCircuit = 0
198
 
199
; Use this directory for compiler temporary files instead of "work/_temp"
200
; CompilerTempDir = /tmp
201
 
202
; Set this to cause the compilers to force data to be committed to disk
203
; when the files are closed.
204
; SyncCompilerFiles = 1
205
 
206
; Add VHDL-AMS declarations to package STANDARD
207
; Default is not to add
208
; AmsStandard = 1
209
 
210
; Range and length checking will be performed on array indices and discrete
211
; ranges, and when violations are found within subprograms, errors will be
212
; reported. Default is to issue warnings for violations, because subprograms
213
; may not be invoked.
214
; NoDeferSubpgmCheck = 0
215
 
216
; Turn off detection of FSMs having single bit current state variable.
217
; FsmSingle = 0
218
 
219
; Turn off reset state transitions in FSM.
220
; FsmResetTrans = 0
221
 
222
; Do not show immediate assertions with constant expressions in
223
; GUI/report/UCDB etc. By default immediate assertions with constant
224
; expressions are shown in GUI/report/UCDB etc. This does not affect ;
225
; evaluation of immediate assertions.
226
; ShowConstantImmediateAsserts = 0
227
 
228
[vlog]
229
; Turn off inclusion of debugging info within design units.
230
; Default is to include debugging info.
231
; NoDebug = 1
232
 
233
; Turn on `protect compiler directive processing.
234
; Default is to ignore `protect directives.
235
; Protect = 1
236
 
237
; Turn off "Loading..." messages. Default is messages on.
238
; Quiet = 1
239
 
240
; Turn on Verilog hazard checking (order-dependent accessing of global vars).
241
; Default is off.
242
; Hazard = 1
243
 
244
; Turn on converting regular Verilog identifiers to uppercase. Allows case
245
; insensitivity for module names. Default is no conversion.
246
; UpCase = 1
247
 
248
; Activate optimizations on expressions that do not involve signals,
249
; waits, or function/procedure/task invocations. Default is off.
250
; ScalarOpts = 1
251
 
252
; Turns on lint-style checking.
253
; Show_Lint = 1
254
 
255
; Show source line containing error. Default is off.
256
; Show_source = 1
257
 
258
; Turn on bad option warning. Default is off.
259
; Show_BadOptionWarning = 1
260
 
261
; Revert back to IEEE 1364-1995 syntax, default is 0 (off).
262
; vlog95compat = 1
263
 
264
; Turn off PSL warning messages. Default is to show warnings.
265
; Show_PslChecksWarnings = 0
266
 
267
; Enable parsing of embedded PSL assertions. Default is enabled.
268
; EmbeddedPsl = 0
269
 
270
; Set the threshold for automatically identifying sparse Verilog memories.
271
; A memory with depth equal to or more than the sparse memory threshold gets
272
; marked as sparse automatically, unless specified otherwise in source code
273
; or by +nosparse commandline option of vlog or vopt.
274
; The default is 1M.  (i.e. memories with depth equal
275
; to or greater than 1M are marked as sparse)
276
; SparseMemThreshold = 1048576
277
 
278
; Run the 0-in compiler on the Verilog source files
279
; Default is off.
280
; ZeroIn = 1
281
 
282
; Set the options to be passed to the 0-in compiler.
283
; Default is "".
284
; ZeroInOptions = ""
285
 
286
; Set the option to treat all files specified in a vlog invocation as a
287
; single compilation unit. The default value is set to 0 which will treat
288
; each file as a separate compilation unit as specified in the P1800 draft standard.
289
; MultiFileCompilationUnit = 1
290
 
291
; Turn on code coverage in Verilog design units. Default is off.
292
; Coverage = sbceft
293
 
294
; Automatically exclude Verilog case statement default branches.
295
; Default is to not automatically exclude defaults.
296
; CoverExcludeDefault = 1
297
 
298
; Increase or decrease the maximum number of rows allowed in a UDP table
299
; implementing a Verilog condition coverage or expression coverage expression.
300
; More rows leads to a longer compile time, but more expressions covered.
301
; CoverMaxUDPRows = 192
302
 
303
; Increase or decrease the maximum number of input patterns that are present
304
; in FEC table. This leads to a longer compile time with more expressions
305
; covered with FEC metric.
306
; CoverMaxFECRows = 192
307
 
308
; Enable or disable Focused Expression Coverage analysis for conditions and
309
; expressions. Focused Expression Coverage data is provided by default when
310
; expression and/or condition coverage is active.
311
; CoverFEC = 0
312
 
313
; Enable or disable short circuit evaluation of conditions and expressions when
314
; condition or expression coverage is active. Short circuit evaluation is enabled
315
; by default.
316
; CoverShortCircuit = 0
317
 
318
 
319
; Turn on code coverage in VLOG `celldefine modules and modules included
320
; using vlog -v and -y. Default is off.
321
; CoverCells = 1
322
 
323
; Control compiler and VOPT optimizations that are allowed when
324
; code coverage is on. This is a number from 1 to 4, with the following
325
; meanings (the default is 3):
326
;    1 -- Turn off all optimizations that affect coverage reports.
327
;    2 -- Allow optimizations that allow large performance improvements
328
;         by invoking sequential processes only when the data changes.
329
;         This may make major reductions in coverage counts.
330
;    3 -- In addition, allow optimizations that may change expressions or
331
;         remove some statements. Allow constant propagation. Allow VHDL
332
;         subprogram inlining and VHDL FF recognition.
333
;    4 -- In addition, allow optimizations that may remove major regions of
334
;         code by changing assignments to built-ins or removing unused
335
;         signals. Change Verilog gates to continuous assignments.
336
; CoverOpt = 3
337
 
338
; Specify the override for the default value of "cross_num_print_missing"
339
; option for the Cross in Covergroups. If not specified then LRM default
340
; value of 0 (zero) is used. This is a compile time option.
341
; SVCrossNumPrintMissingDefault = 0
342
 
343
; Setting following to 1 would cause creation of variables which
344
; would represent the value of Coverpoint expressions. This is used
345
; in conjunction with "SVCoverpointExprVariablePrefix" option
346
; in the modelsim.ini
347
; EnableSVCoverpointExprVariable = 0
348
 
349
; Specify the override for the prefix used in forming the variable names
350
; which represent the Coverpoint expressions. This is used in conjunction with
351
; "EnableSVCoverpointExprVariable" option of the modelsim.ini
352
; The default prefix is "expr".
353
; The variable name is
354
;    variable name => _
355
; SVCoverpointExprVariablePrefix = expr
356
 
357
; Override for the default value of the SystemVerilog covergroup,
358
; coverpoint, and cross option.goal (defined to be 100 in the LRM).
359
; NOTE: It does not override specific assignments in SystemVerilog
360
; source code. NOTE: The modelsim.ini variable "SVCovergroupGoal"
361
; in the [vsim] section can override this value.
362
; SVCovergroupGoalDefault = 100
363
 
364
; Override for the default value of the SystemVerilog covergroup,
365
; coverpoint, and cross type_option.goal (defined to be 100 in the LRM)
366
; NOTE: It does not override specific assignments in SystemVerilog
367
; source code. NOTE: The modelsim.ini variable "SVCovergroupTypeGoal"
368
; in the [vsim] section can override this value.
369
; SVCovergroupTypeGoalDefault = 100
370
 
371
; Specify the override for the default value of "strobe" option for the
372
; Covergroup Type. This is a compile time option which forces "strobe" to
373
; a user specified default value and supersedes SystemVerilog specified
374
; default value of '0'(zero). NOTE: This can be overriden by a runtime
375
; modelsim.ini variable "SVCovergroupStrobe" in the [vsim] section.
376
; SVCovergroupStrobeDefault = 0
377
 
378
; Specify the override for the default value of "merge_instances" option for
379
; the Covergroup Type. This is a compile time option which forces
380
; "merge_instances" to a user specified default value and supersedes
381
; SystemVerilog specified default value of '0'(zero).
382
; SVCovergroupMergeInstancesDefault = 0
383
 
384
; Specify the override for the default value of "per_instance" option for the
385
; Covergroup variables. This is a compile time option which forces "per_instance"
386
; to a user specified default value and supersedes SystemVerilog specified
387
; default value of '0'(zero).
388
; SVCovergroupPerInstanceDefault = 0
389
 
390
; Specify the override for the default value of "get_inst_coverage" option for the
391
; Covergroup variables. This is a compile time option which forces
392
; "get_inst_coverage" to a user specified default value and supersedes
393
; SystemVerilog specified default value of '0'(zero).
394
; SVCovergroupGetInstCoverageDefault = 0
395
 
396
;
397
; A space separated list of resource libraries that contain precompiled
398
; packages.  The behavior is identical to using the "-L" switch.
399
;
400
; LibrarySearchPath =  [ ...]
401
LibrarySearchPath = mtiAvm mtiOvm mtiUPF
402
 
403
; The behavior is identical to the "-mixedansiports" switch.  Default is off.
404
; MixedAnsiPorts = 1
405
 
406
; Enable SystemVerilog 3.1a $typeof() function. Default is off.
407
; EnableTypeOf = 1
408
 
409
; Only allow lower case pragmas. Default is disabled.
410
; AcceptLowerCasePragmaOnly = 1
411
 
412
; Set the maximum depth permitted for a recursive include file nesting.
413
; IncludeRecursionDepthMax = 5
414
 
415
; Turn off detection of FSMs having single bit current state variable.
416
; FsmSingle = 0
417
 
418
; Turn off reset state transitions in FSM.
419
; FsmResetTrans = 0
420
 
421
; Turn off detections of FSMs having x-assignment.
422
; FsmXAssign = 0
423
 
424
; List of file suffixes which will be read as SystemVerilog.  White space
425
; in extensions can be specified with a back-slash: "\ ".  Back-slashes
426
; can be specified with two consecutive back-slashes: "\\";
427
; SVFileExtensions = sv svp svh
428
 
429
; This setting is the same as the vlog -sv command line switch.
430
; Enables SystemVerilog features and keywords when true (1).
431
; When false (0), the rules of IEEE Std 1364-2001 are followed and
432
; SystemVerilog keywords are ignored.
433
; Svlog = 0
434
 
435
; Prints attribute placed upon SV packages during package import
436
; when true (1).  The attribute will be ignored when this
437
; entry is false (0). The attribute name is "package_load_message".
438
; The value of this attribute is a string literal.
439
; Default is true (1).
440
; PrintSVPackageLoadingAttribute = 1
441
 
442
; Do not show immediate assertions with constant expressions in
443
; GUI/reports/UCDB etc. By default immediate assertions with constant
444
; expressions are shown in GUI/reports/UCDB etc. This does not affect
445
; evaluation of immediate assertions.
446
; ShowConstantImmediateAsserts = 0
447
 
448
[sccom]
449
; Enable use of SCV include files and library.  Default is off.
450
; UseScv = 1
451
 
452
; Add C++ compiler options to the sccom command line by using this variable.
453
; CppOptions = -g
454
 
455
; Use custom C++ compiler located at this path rather than the default path.
456
; The path should point directly at a compiler executable.
457
; CppPath = /usr/bin/g++
458
 
459
; Enable verbose messages from sccom.  Default is off.
460
; SccomVerbose = 1
461
 
462
; sccom logfile.  Default is no logfile.
463
; SccomLogfile = sccom.log
464
 
465
; Enable use of SC_MS include files and library.  Default is off.
466
; UseScMs = 1
467
 
468
[vopt]
469
; Turn on code coverage in vopt.  Default is off.
470
; Coverage = sbceft
471
 
472
; Control compiler optimizations that are allowed when
473
; code coverage is on.  Refer to the comment for this in the [vlog] area.
474
; CoverOpt = 3
475
 
476
; Increase or decrease the maximum number of rows allowed in a UDP table
477
; implementing a vopt condition coverage or expression coverage expression.
478
; More rows leads to a longer compile time, but more expressions covered.
479
; CoverMaxUDPRows = 192
480
 
481
; Increase or decrease the maximum number of input patterns that are present
482
; in FEC table. This leads to a longer compile time with more expressions
483
; covered with FEC metric.
484
; CoverMaxFECRows = 192
485
 
486
; Do not show immediate assertions with constant expressions in
487
; GUI/reports/UCDB etc. By default immediate assertions with constant
488
; expressions are shown in GUI/reports/UCDB etc. This does not affect
489
; evaluation of immediate assertions.
490
; ShowConstantImmediateAsserts = 0
491
 
492
; Set the maximum number of iterations permitted for a generate loop.
493
; Restricting this permits the implementation to recognize infinite
494
; generate loops.
495
; GenerateLoopIterationMax = 100000
496
 
497
; Set the maximum depth permitted for a recursive generate instantiation.
498
; Restricting this permits the implementation to recognize infinite
499
; recursions.
500
; GenerateRecursionDepthMax = 200
501
 
502
 
503
[vsim]
504
; vopt flow
505
; Set to turn on automatic optimization of a design.
506
; Default is on
507
VoptFlow = 1
508
 
509
; vopt automatic SDF
510
; If automatic design optimization is on, enables automatic compilation
511
; of SDF files.
512
; Default is on, uncomment to turn off.
513
; VoptAutoSDFCompile = 0
514
 
515
; Automatic SDF compilation
516
; Disables automatic compilation of SDF files in flows that support it.
517
; Default is on, uncomment to turn off.
518
; NoAutoSDFCompile = 1
519
 
520
; Simulator resolution
521
; Set to fs, ps, ns, us, ms, or sec with optional prefix of 1, 10, or 100.
522
resolution = 1ps
523
 
524
; Disable certain code coverage exclusions automatically.
525
; Assertions and FSM are exluded from the code coverage by default
526
; Set AutoExclusionsDisable = fsm to enable code coverage for fsm
527
; Set AutoExclusionsDisable = assertions to enable code coverage for assertions
528
; Set AutoExclusionsDisable = all to enable code coverage for all the automatic exclusions
529
; Or specify comma or space separated list
530
;AutoExclusionsDisable = fsm,assertions
531
 
532
; User time unit for run commands
533
; Set to default, fs, ps, ns, us, ms, or sec. The default is to use the
534
; unit specified for Resolution. For example, if Resolution is 100ps,
535
; then UserTimeUnit defaults to ps.
536
; Should generally be set to default.
537
UserTimeUnit = default
538
 
539
; Default run length
540
RunLength = 10 sec
541
 
542
; Maximum iterations that can be run without advancing simulation time
543
IterationLimit = 5000
544
 
545
; Control PSL and Verilog Assume directives during simulation
546
; Set SimulateAssumeDirectives = 0 to disable assume being simulated as asserts
547
; Set SimulateAssumeDirectives = 1 to enable assume simulation as asserts
548
; SimulateAssumeDirectives = 1
549
 
550
; Control the simulation of PSL and SVA
551
; These switches can be overridden by the vsim command line switches:
552
;    -psl, -nopsl, -sva, -nosva.
553
; Set SimulatePSL = 0 to disable PSL simulation
554
; Set SimulatePSL = 1 to enable PSL simulation (default)
555
; SimulatePSL = 1
556
; Set SimulateSVA = 0 to disable SVA simulation
557
; Set SimulateSVA = 1 to enable concurrent SVA simulation (default)
558
; SimulateSVA = 1
559
 
560
; Directives to license manager can be set either as single value or as
561
; space separated multi-values:
562
; vhdl          Immediately reserve a VHDL license
563
; vlog          Immediately reserve a Verilog license
564
; plus          Immediately reserve a VHDL and Verilog license
565
; nomgc         Do not look for Mentor Graphics Licenses
566
; nomti         Do not look for Model Technology Licenses
567
; noqueue       Do not wait in the license queue when a license is not available
568
; viewsim       Try for viewer license but accept simulator license(s) instead
569
;               of queuing for viewer license (PE ONLY)
570
; noviewer      Disable checkout of msimviewer and vsim-viewer license
571
;               features (PE ONLY)
572
; noslvhdl      Disable checkout of qhsimvh and vsim license features
573
; noslvlog      Disable checkout of qhsimvl and vsimvlog license features
574
; nomix         Disable checkout of msimhdlmix and hdlmix license features
575
; nolnl         Disable checkout of msimhdlsim and hdlsim license features
576
; mixedonly     Disable checkout of qhsimvh,qhsimvl,vsim,vsimvlog license
577
;               features
578
; lnlonly       Disable checkout of qhsimvh,qhsimvl,vsim,vsimvlog,msimhdlmix,
579
;               hdlmix license features
580
; Single value:
581
; License = plus
582
; Multi-value:
583
; License = noqueue plus
584
 
585
; Stop the simulator after a VHDL/Verilog immediate assertion message
586
; 0 = Note  1 = Warning  2 = Error  3 = Failure  4 = Fatal
587
BreakOnAssertion = 3
588
 
589
; VHDL assertion Message Format
590
; %S - Severity Level
591
; %R - Report Message
592
; %T - Time of assertion
593
; %D - Delta
594
; %I - Instance or Region pathname (if available)
595
; %i - Instance pathname with process
596
; %O - Process name
597
; %K - Kind of object path is to return: Instance, Signal, Process or Unknown
598
; %P - Instance or Region path without leaf process
599
; %F - File
600
; %L - Line number of assertion or, if assertion is in a subprogram, line
601
;      from which the call is made
602
; %% - Print '%' character
603
; If specific format for assertion level is defined, use its format.
604
; If specific format is not defined for assertion level:
605
; - and if failure occurs during elaboration, use MessageFormatBreakLine;
606
; - and if assertion triggers a breakpoint (controlled by BreakOnAssertion
607
;   level), use MessageFormatBreak;
608
; - otherwise, use MessageFormat.
609
; MessageFormatBreakLine = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F Line: %L\n"
610
; MessageFormatBreak     = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F\n"
611
; MessageFormat          = "** %S: %R\n   Time: %T  Iteration: %D%I\n"
612
; MessageFormatNote      = "** %S: %R\n   Time: %T  Iteration: %D%I\n"
613
; MessageFormatWarning   = "** %S: %R\n   Time: %T  Iteration: %D%I\n"
614
; MessageFormatError     = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F\n"
615
; MessageFormatFail      = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F\n"
616
; MessageFormatFatal     = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F\n"
617
 
618
; Error File - alternate file for storing error messages
619
; ErrorFile = error.log
620
 
621
 
622
; Simulation Breakpoint messages
623
; This flag controls the display of function names when reporting the location
624
; where the simulator stops do to a breakpoint or fatal error.
625
; Example w/function name:  # Break in Process ctr at counter.vhd line 44
626
; Example wo/function name: # Break at counter.vhd line 44
627
ShowFunctions = 1
628
 
629
; Default radix for all windows and commands.
630
; Set to symbolic, ascii, binary, octal, decimal, hex, unsigned
631
DefaultRadix = symbolic
632
 
633
; VSIM Startup command
634
; Startup = do startup.do
635
 
636
; VSIM Shutdown file
637
; Filename to save u/i formats and configurations.
638
; ShutdownFile = restart.do
639
; To explicitly disable auto save:
640
; ShutdownFile = --disable-auto-save
641
 
642
; File for saving command transcript
643
TranscriptFile = transcript
644
 
645
; File for saving command history
646
; CommandHistory = cmdhist.log
647
 
648
; Specify whether paths in simulator commands should be described
649
; in VHDL or Verilog format.
650
; For VHDL, PathSeparator = /
651
; For Verilog, PathSeparator = .
652
; Must not be the same character as DatasetSeparator.
653
PathSeparator = /
654
 
655
; Specify the dataset separator for fully rooted contexts.
656
; The default is ':'. For example: sim:/top
657
; Must not be the same character as PathSeparator.
658
DatasetSeparator = :
659
 
660
; Specify a unique path separator for the Signal Spy set of functions.
661
; The default will be to use the PathSeparator variable.
662
; Must not be the same character as DatasetSeparator.
663
; SignalSpyPathSeparator = /
664
 
665
; Used to control parsing of HDL identifiers input to the tool.
666
; This includes CLI commands, vsim/vopt/vlog/vcom options,
667
; string arguments to FLI/VPI/DPI calls, etc.
668
; If set to 1, accept either Verilog escaped Id syntax or
669
; VHDL extended id syntax, regardless of source language.
670
; If set to 0, the syntax of the source language must be used.
671
; Each identifier in a hierarchical name may need different syntax,
672
; e.g. "/top/\vhdl*ext*id\/middle/\vlog*ext*id /bottom" or
673
;       "top.\vhdl*ext*id\.middle.\vlog*ext*id .bottom"
674
; GenerousIdentifierParsing = 1
675
 
676
; Disable VHDL assertion messages
677
; IgnoreNote = 1
678
; IgnoreWarning = 1
679
; IgnoreError = 1
680
; IgnoreFailure = 1
681
 
682
; Disable System Verilog assertion messages
683
; IgnoreSVAInfo = 1
684
; IgnoreSVAWarning = 1
685
; IgnoreSVAError = 1
686
; IgnoreSVAFatal = 1
687
 
688
; Do not print any additional information from Severity System tasks.
689
; Only the message provided by the user is printed along with severity
690
; information.
691
; SVAPrintOnlyUserMessage = 1;
692
 
693
; Default force kind. May be freeze, drive, deposit, or default
694
; or in other terms, fixed, wired, or charged.
695
; A value of "default" will use the signal kind to determine the
696
; force kind, drive for resolved signals, freeze for unresolved signals
697
; DefaultForceKind = freeze
698
 
699
; Control the iteration of events when a VHDL signal is forced to a value
700
; This flag can be set to honour the signal update event in next iteration,
701
; the default is to update and propagate in the same iteration.
702
; ForceSigNextIter = 1
703
 
704
 
705
; If zero, open files when elaborated; otherwise, open files on
706
; first read or write.  Default is 0.
707
; DelayFileOpen = 1
708
 
709
; Control VHDL files opened for write.
710
;   0 = Buffered, 1 = Unbuffered
711
UnbufferedOutput = 0
712
 
713
; Control the number of VHDL files open concurrently.
714
; This number should always be less than the current ulimit
715
; setting for max file descriptors.
716
;   0 = unlimited
717
ConcurrentFileLimit = 40
718
 
719
; Control the number of hierarchical regions displayed as
720
; part of a signal name shown in the Wave window.
721
; A value of zero tells VSIM to display the full name.
722
; The default is 0.
723
; WaveSignalNameWidth = 0
724
 
725
; Turn off warnings when changing VHDL constants and generics
726
; Default is 1 to generate warning messages
727
; WarnConstantChange = 0
728
 
729
; Turn off warnings from the std_logic_arith, std_logic_unsigned
730
; and std_logic_signed packages.
731
; StdArithNoWarnings = 1
732
 
733
; Turn off warnings from the IEEE numeric_std and numeric_bit packages.
734
; NumericStdNoWarnings = 1
735
 
736
; Control the format of the (VHDL) FOR generate statement label
737
; for each iteration.  Do not quote it.
738
; The format string here must contain the conversion codes %s and %d,
739
; in that order, and no other conversion codes.  The %s represents
740
; the generate_label; the %d represents the generate parameter value
741
; at a particular generate iteration (this is the position number if
742
; the generate parameter is of an enumeration type).  Embedded whitespace
743
; is allowed (but discouraged); leading and trailing whitespace is ignored.
744
; Application of the format must result in a unique scope name over all
745
; such names in the design so that name lookup can function properly.
746
; GenerateFormat = %s__%d
747
 
748
; Specify whether checkpoint files should be compressed.
749
; The default is 1 (compressed).
750
; CheckpointCompressMode = 0
751
 
752
; Specify whether to enable SystemVerilog DPI "out-of-the-blue" calls.
753
; The term "out-of-the-blue" refers to SystemVerilog export function calls
754
; made from C functions that don't have the proper context setup
755
; (as is the case when running under "DPI-C" import functions).
756
; When this is enabled, one can call a DPI export function
757
; (but not task) from any C code.
758
; the setting of this variable can be one of the following values:
759
; 0 : dpioutoftheblue call is disabled (default)
760
; 1 : dpioutoftheblue call is enabled, but export call debug support is not available.
761
; 2 : dpioutoftheblue call is enabled, and limited export call debug support is available.
762
; DpiOutOfTheBlue = 1
763
 
764
; Specify whether continuous assignments are run before other normal priority
765
; processes scheduled in the same iteration. This event ordering minimizes race
766
; differences between optimized and non-optimized designs, and is the default
767
; behavior beginning with the 6.5 release. For pre-6.5 event ordering, set
768
; ImmediateContinuousAssign to 0.
769
; The default is 1 (enabled).
770
; ImmediateContinuousAssign = 0
771
 
772
; List of dynamically loaded objects for Verilog PLI applications
773
; Veriuser = veriuser.sl
774
 
775
; Which default VPI object model should the tool conform to?
776
; The 1364 modes are Verilog-only, for backwards compatibility with older
777
; libraries, and SystemVerilog objects are not available in these modes.
778
;
779
; In the absence of a user-specified default, the tool default is the
780
; latest available LRM behavior.
781
; Options for PliCompatDefault are:
782
;  VPI_COMPATIBILITY_VERSION_1364v1995
783
;  VPI_COMPATIBILITY_VERSION_1364v2001
784
;  VPI_COMPATIBILITY_VERSION_1364v2005
785
;  VPI_COMPATIBILITY_VERSION_1800v2005
786
;  VPI_COMPATIBILITY_VERSION_1800v2008
787
;
788
; Synonyms for each string are also recognized:
789
;  VPI_COMPATIBILITY_VERSION_1364v1995 (1995, 95, 1364v1995, 1364V1995, VL1995)
790
;  VPI_COMPATIBILITY_VERSION_1364v2001 (2001, 01, 1364v2001, 1364V2001, VL2001)
791
;  VPI_COMPATIBILITY_VERSION_1364v2005 (1364v2005, 1364V2005, VL2005)
792
;  VPI_COMPATIBILITY_VERSION_1800v2005 (2005, 05, 1800v2005, 1800V2005, SV2005)
793
;  VPI_COMPATIBILITY_VERSION_1800v2008 (2008, 08, 1800v2008, 1800V2008, SV2008)
794
 
795
 
796
; PliCompatDefault = VPI_COMPATIBILITY_VERSION_1800v2005
797
 
798
; Specify default options for the restart command. Options can be one
799
; or more of: -force -nobreakpoint -nolist -nolog -nowave -noassertions
800
; DefaultRestartOptions = -force
801
 
802
; Turn on (1) or off (0) WLF file compression.
803
; The default is 1 (compress WLF file).
804
; WLFCompress = 0
805
 
806
; Specify whether to save all design hierarchy (1) in the WLF file
807
; or only regions containing logged signals (0).
808
; The default is 0 (save only regions with logged signals).
809
; WLFSaveAllRegions = 1
810
 
811
; WLF file time limit.  Limit WLF file by time, as closely as possible,
812
; to the specified amount of simulation time.  When the limit is exceeded
813
; the earliest times get truncated from the file.
814
; If both time and size limits are specified the most restrictive is used.
815
; UserTimeUnits are used if time units are not specified.
816
; The default is 0 (no limit).  Example: WLFTimeLimit = {100 ms}
817
; WLFTimeLimit = 0
818
 
819
; WLF file size limit.  Limit WLF file size, as closely as possible,
820
; to the specified number of megabytes.  If both time and size limits
821
; are specified then the most restrictive is used.
822
; The default is 0 (no limit).
823
; WLFSizeLimit = 1000
824
 
825
; Specify whether or not a WLF file should be deleted when the
826
; simulation ends.  A value of 1 will cause the WLF file to be deleted.
827
; The default is 0 (do not delete WLF file when simulation ends).
828
; WLFDeleteOnQuit = 1
829
 
830
; Specify whether or not a WLF file should be indexed during
831
; simulation.  If set to 0, the WLF file will not be indexed.
832
; The default is 1, indexed the WLF file.
833
; WLFIndex = 0
834
 
835
; Specify whether or not a WLF file should be optimized during
836
; simulation.  If set to 0, the WLF file will not be optimized.
837
; The default is 1, optimize the WLF file.
838
; WLFOptimize = 0
839
 
840
; Specify the name of the WLF file.
841
; The default is vsim.wlf
842
; WLFFilename = vsim.wlf
843
 
844
; Specify the WLF reader cache size limit for each open WLF file.
845
; The size is giving in megabytes.  A value of 0 turns off the
846
; WLF cache.
847
; WLFSimCacheSize allows a different cache size to be set for
848
; simulation WLF file independent of post-simulation WLF file
849
; viewing.  If WLFSimCacheSize is not set it defaults to the
850
; WLFCacheSize setting.
851
; The default WLFCacheSize setting is enabled to 256M per open WLF file.
852
; WLFCacheSize = 2000
853
; WLFSimCacheSize = 500
854
 
855
; Specify the WLF file event collapse mode.
856
; 0 = Preserve all events and event order. (same as -wlfnocollapse)
857
; 1 = Only record values of logged objects at the end of a simulator iteration.
858
;     (same as -wlfcollapsedelta)
859
; 2 = Only record values of logged objects at the end of a simulator time step.
860
;     (same as -wlfcollapsetime)
861
; The default is 1.
862
; WLFCollapseMode = 0
863
 
864
; Specify whether WLF file logging can use threads on multi-processor machines
865
; if 0, no threads will be used, if 1, threads will be used if the system has
866
; more than one processor
867
; WLFUseThreads = 1
868
 
869
; Turn on/off undebuggable SystemC type warnings. Default is on.
870
; ShowUndebuggableScTypeWarning = 0
871
 
872
; Turn on/off unassociated SystemC name warnings. Default is off.
873
; ShowUnassociatedScNameWarning = 1
874
 
875
; Turn on/off SystemC IEEE 1666 deprecation warnings. Default is off.
876
; ScShowIeeeDeprecationWarnings = 1
877
 
878
; Turn on/off the check for multiple drivers on a SystemC sc_signal. Default is off.
879
; ScEnableScSignalWriteCheck = 1
880
 
881
; Set SystemC default time unit.
882
; Set to fs, ps, ns, us, ms, or sec with optional
883
; prefix of 1, 10, or 100.  The default is 1 ns.
884
; The ScTimeUnit value is honored if it is coarser than Resolution.
885
; If ScTimeUnit is finer than Resolution, it is set to the value
886
; of Resolution. For example, if Resolution is 100ps and ScTimeUnit is ns,
887
; then the default time unit will be 1 ns.  However if Resolution
888
; is 10 ns and ScTimeUnit is ns, then the default time unit will be 10 ns.
889
ScTimeUnit = ns
890
 
891
; Set SystemC sc_main stack size. The stack size is set as an integer
892
; number followed by the unit which can be Kb(Kilo-byte), Mb(Mega-byte) or
893
; Gb(Giga-byte). Default is 10 Mb. The stack size for sc_main depends
894
; on the amount of data on the sc_main() stack and the memory required
895
; to succesfully execute the longest function call chain of sc_main().
896
ScMainStackSize = 10 Mb
897
 
898
; Turn on/off execution of remainder of sc_main upon quitting the current
899
; simulation session. If the cumulative length of sc_main() in terms of
900
; simulation time units is less than the length of the current simulation
901
; run upon quit or restart, sc_main() will be in the middle of execution.
902
; This switch gives the option to execute the remainder of sc_main upon
903
; quitting simulation. The drawback of not running sc_main till the end
904
; is memory leaks for objects created by sc_main. If on, the remainder of
905
; sc_main will be executed ignoring all delays. This may cause the simulator
906
; to crash if the code in sc_main is dependent on some simulation state.
907
; Default is on.
908
ScMainFinishOnQuit = 1
909
 
910
; Set the SCV relationship name that will be used to identify phase
911
; relations.  If the name given to a transactor relation matches this
912
; name, the transactions involved will be treated as phase transactions
913
ScvPhaseRelationName = mti_phase
914
 
915
; Customize the vsim kernel shutdown behavior at the end of the simulation.
916
; Some common causes of the end of simulation are $finish (implicit or explicit),
917
; sc_stop(), tf_dofinish(), and assertion failures.
918
; This should be set to "ask", "exit", or "stop". The default is "ask".
919
; "ask"   -- In batch mode, the vsim kernel will abruptly exit.
920
;            In GUI mode, a dialog box will pop up and ask for user confirmation
921
;            whether or not to quit the simulation.
922
; "stop"  -- Cause the simulation to stay loaded in memory. This can make some
923
;            post-simulation tasks easier.
924
; "exit"  -- The simulation will abruptly exit without asking for any confirmation.
925
; "final" -- Run SystemVerilog final blocks then behave as "stop".
926
; Note: these ini variables can be overriden by the vsim command
927
;       line switch "-onfinish ".
928
OnFinish = ask
929
 
930
; Print pending deferred assertion messages.
931
; Deferred assertion messages may be scheduled after the $finish in the same
932
; time step. Deferred assertions scheduled to print after the $finish are
933
; printed before exiting with severity level NOTE since it's not known whether
934
; the assertion is still valid due to being printed in the active region
935
; instead of the reactive region where they are normally printed.
936
; OnFinishPendingAssert = 1;
937
 
938
; Print "simstats" result at the end of simulation before shutdown.
939
; If this is enabled, the simstats result will be printed out before shutdown.
940
; The default is off.
941
; PrintSimStats = 1
942
 
943
; Assertion File - alternate file for storing VHDL/PSL/Verilog assertion messages
944
; AssertFile = assert.log
945
 
946
; Run simulator in assertion debug mode. Default is off.
947
; AssertionDebug = 1
948
 
949
; Turn on/off PSL/SVA concurrent assertion pass enable.
950
; For SVA, Default is on when the assertion has a pass action block, or
951
; the vsim -assertdebug option is used and the vopt "+acc=a" flag is active.
952
; For PSL, Default is on only when vsim switch "-assertdebug" is used
953
; and the vopt "+acc=a" flag is active.
954
; AssertionPassEnable = 0
955
 
956
; Turn on/off PSL/SVA concurrent assertion fail enable. Default is on.
957
; AssertionFailEnable = 0
958
 
959
; Set PSL/SVA concurrent assertion pass limit. Default is -1.
960
; Any positive integer, -1 for infinity.
961
; AssertionPassLimit = 1
962
 
963
; Set PSL/SVA concurrent assertion fail limit. Default is -1.
964
; Any positive integer, -1 for infinity.
965
; AssertionFailLimit = 1
966
 
967
; Turn on/off PSL concurrent assertion pass log. Default is off.
968
; The flag does not affect SVA
969
; AssertionPassLog = 1
970
 
971
; Turn on/off PSL concurrent assertion fail log. Default is on.
972
; The flag does not affect SVA
973
; AssertionFailLog = 0
974
 
975
; Turn on/off SVA concurrent assertion local var printing in -assertdebug mode.  Default is on.
976
; AssertionFailLocalVarLog = 0
977
 
978
; Set action type for PSL/SVA concurrent assertion fail action. Default is continue.
979
; 0 = Continue  1 = Break  2 = Exit
980
; AssertionFailAction = 1
981
 
982
; Enable the active thread monitor in the waveform display when assertion debug is enabled.
983
; AssertionActiveThreadMonitor = 1
984
 
985
; Control how many waveform rows will be used for displaying the active threads.  Default is 5.
986
; AssertionActiveThreadMonitorLimit = 5
987
 
988
 
989
; As per strict 1850-2005 PSL LRM, an always property can either pass
990
; or fail. However, by default, Questa reports multiple passes and
991
; multiple fails on top always/never property (always/never operator
992
; is the top operator under Verification Directive). The reason
993
; being that Questa reports passes and fails on per attempt of the
994
; top always/never property. Use the following flag to instruct
995
; Questa to strictly follow LRM. With this flag, all assert/never
996
; directives will start an attempt once at start of simulation.
997
; The attempt can either fail, match or match vacuously.
998
; For e.g. if always is the top operator under assert, the always will
999
; keep on checking the property at every clock. If the property under
1000
; always fails, the directive will be considered failed and no more
1001
; checking will be done for that directive. A top always property,
1002
; if it does not fail, will show a pass at end of simulation.
1003
; The default value is '0' (i.e. zero is off). For example:
1004
; PslOneAttempt = 1
1005
 
1006
; Specify the number of clock ticks to represent infinite clock ticks.
1007
; This affects eventually!, until! and until_!. If at End of Simulation
1008
; (EOS) an active strong-property has not clocked this number of
1009
; clock ticks then neither pass or fail (vacuous match) is returned
1010
; else respective fail/pass is returned. The default value is '0' (zero)
1011
; which effectively does not check for clock tick condition. For example:
1012
; PslInfinityThreshold = 5000
1013
 
1014
; Control how many thread start times will be preserved for ATV viewing for a given assertion
1015
; instance.  Default is -1 (ALL).
1016
; ATVStartTimeKeepCount = -1
1017
 
1018
; Turn on/off code coverage
1019
; CodeCoverage = 0
1020
 
1021
; Count all code coverage condition and expression truth table rows that match.
1022
; CoverCountAll = 1
1023
 
1024
; Turn off automatic inclusion of VHDL integers in toggle coverage. Default
1025
; is to include them.
1026
; ToggleNoIntegers = 1
1027
 
1028
; Set the maximum number of values that are collected for toggle coverage of
1029
; VHDL integers. Default is 100;
1030
; ToggleMaxIntValues = 100
1031
 
1032
; Set the maximum number of values that are collected for toggle coverage of
1033
; Verilog real. Default is 100;
1034
; ToggleMaxRealValues = 100
1035
 
1036
; Turn on automatic inclusion of Verilog integers in toggle coverage, except
1037
; for enumeration types. Default is to include them.
1038
; ToggleVlogIntegers = 0
1039
 
1040
; Turn on automatic inclusion of Verilog real type in toggle coverage, except
1041
; for shortreal types. Default is to not include them.
1042
; ToggleVlogReal = 1
1043
 
1044
; Turn on automatic inclusion of Verilog fixed-size unpacked arrays in toggle coverage.
1045
; Default is to not include them.
1046
; ToggleFixedSizeArray = 1
1047
 
1048
; Increase or decrease the maximum size of Verilog unpacked fixed-size arrays that
1049
; are included for toggle coverage. This leads to a longer simulation time with bigger
1050
; arrays covered with toggle coverage. Default is 1024.
1051
; ToggleMaxFixedSizeArray = 1024
1052
 
1053
; Treat packed vectors and structures as reg-vectors in toggle coverage. Default is 0.
1054
; TogglePackedAsVec = 0
1055
 
1056
; Treat Verilog enumerated types as reg-vectors in toggle coverage. Default is 0.
1057
; ToggleVlogEnumBits = 0
1058
 
1059
; Limit the widths of registers automatically tracked for toggle coverage. Default is 128.
1060
; For unlimited width, set to 0.
1061
; ToggleWidthLimit = 128
1062
 
1063
; Limit the counts that are tracked for toggle coverage. When all edges for a bit have
1064
; reached this count, further activity on the bit is ignored. Default is 1.
1065
; For unlimited counts, set to 0.
1066
; ToggleCountLimit = 1
1067
 
1068
; Turn on/off all PSL/SVA cover directive enables.  Default is on.
1069
; CoverEnable = 0
1070
 
1071
; Turn on/off PSL/SVA cover log.  Default is off "0".
1072
; CoverLog = 1
1073
 
1074
; Set "at_least" value for all PSL/SVA cover directives.  Default is 1.
1075
; CoverAtLeast = 2
1076
 
1077
; Set "limit" value for all PSL/SVA cover directives.  Default is -1.
1078
; Any positive integer, -1 for infinity.
1079
; CoverLimit = 1
1080
 
1081
; Specify the coverage database filename.
1082
; Default is "" (i.e. database is NOT automatically saved on close).
1083
; UCDBFilename = vsim.ucdb
1084
 
1085
; Specify the maximum limit for the number of Cross (bin) products reported
1086
; in XML and UCDB report against a Cross. A warning is issued if the limit
1087
; is crossed.
1088
; MaxReportRhsSVCrossProducts = 1000
1089
 
1090
; Specify the override for the "auto_bin_max" option for the Covergroups.
1091
; If not specified then value from Covergroup "option" is used.
1092
; SVCoverpointAutoBinMax = 64
1093
 
1094
; Specify the override for the value of "cross_num_print_missing"
1095
; option for the Cross in Covergroups. If not specified then value
1096
; specified in the "option.cross_num_print_missing" is used. This
1097
; is a runtime option. NOTE: This overrides any "cross_num_print_missing"
1098
; value specified by user in source file and any SVCrossNumPrintMissingDefault
1099
; specified in modelsim.ini.
1100
; SVCrossNumPrintMissing = 0
1101
 
1102
; Specify whether to use the value of "cross_num_print_missing"
1103
; option in report and GUI for the Cross in Covergroups. If not specified then
1104
; cross_num_print_missing is ignored for creating reports and displaying
1105
; covergroups in GUI. Default is 0, which means ignore "cross_num_print_missing".
1106
; UseSVCrossNumPrintMissing = 0
1107
 
1108
; Specify the override for the value of "strobe" option for the
1109
; Covergroup Type. If not specified then value in "type_option.strobe"
1110
; will be used. This is runtime option which forces "strobe" to
1111
; user specified value and supersedes user specified values in the
1112
; SystemVerilog Code. NOTE: This also overrides the compile time
1113
; default value override specified using "SVCovergroupStrobeDefault"
1114
; SVCovergroupStrobe = 0
1115
 
1116
; Override for explicit assignments in source code to "option.goal" of
1117
; SystemVerilog covergroup, coverpoint, and cross. It also overrides the
1118
; default value of "option.goal" (defined to be 100 in the SystemVerilog
1119
; LRM) and the value of modelsim.ini variable "SVCovergroupGoalDefault".
1120
; SVCovergroupGoal = 100
1121
 
1122
; Override for explicit assignments in source code to "type_option.goal" of
1123
; SystemVerilog covergroup, coverpoint, and cross. It also overrides the
1124
; default value of "type_option.goal" (defined to be 100 in the SystemVerilog
1125
; LRM) and the value of modelsim.ini variable "SVCovergroupTypeGoalDefault".
1126
; SVCovergroupTypeGoal = 100
1127
 
1128
; Enforce the 6.3 behavior of covergroup get_coverage() and get_inst_coverage()
1129
; builtin functions, and report. This setting changes the default values of
1130
; option.get_inst_coverage and type_option.merge_instances to ensure the 6.3
1131
; behavior if explicit assignments are not made on option.get_inst_coverage and
1132
; type_option.merge_instances by the user. There are two vsim command line
1133
; options, -cvg63 and -nocvg63 to override this setting from vsim command line.
1134
; The default value of this variable is 1
1135
; SVCovergroup63Compatibility = 1
1136
 
1137
; Enable or disable generation of more detailed information about the sampling
1138
; of covergroup, cross, and coverpoints. It provides the details of the number
1139
; of times the covergroup instance and type were sampled, as well as details
1140
; about why covergroup, cross and coverpoint were not covered. A non-zero value
1141
; is to enable this feature. 0 is to disable this feature. Default is 0
1142
; SVCovergroupSampleInfo = 0
1143
 
1144
; Specify the maximum number of Coverpoint bins in whole design for
1145
; all Covergroups.
1146
; MaxSVCoverpointBinsDesign = 2147483648
1147
 
1148
; Specify maximum number of Coverpoint bins in any instance of a Covergroup
1149
; MaxSVCoverpointBinsInst = 2147483648
1150
 
1151
; Specify the maximum number of Cross bins in whole design for
1152
; all Covergroups.
1153
; MaxSVCrossBinsDesign = 2147483648
1154
 
1155
; Specify maximum number of Cross bins in any instance of a Covergroup
1156
; MaxSVCrossBinsInst = 2147483648
1157
 
1158
; Set weight for all PSL/SVA cover directives.  Default is 1.
1159
; CoverWeight = 2
1160
 
1161
; Check vsim plusargs.  Default is 0 (off).
1162
; 0 = Don't check plusargs
1163
; 1 = Warning on unrecognized plusarg
1164
; 2 = Error and exit on unrecognized plusarg
1165
; CheckPlusargs = 1
1166
 
1167
; Load the specified shared objects with the RTLD_GLOBAL flag.
1168
; This gives global visibility to all symbols in the shared objects,
1169
; meaning that subsequently loaded shared objects can bind to symbols
1170
; in the global shared objects.  The list of shared objects should
1171
; be whitespace delimited.  This option is not supported on the
1172
; Windows or AIX platforms.
1173
; GlobalSharedObjectList = example1.so example2.so example3.so
1174
 
1175
; Run the 0in tools from within the simulator.
1176
; Default is off.
1177
; ZeroIn = 1
1178
 
1179
; Set the options to be passed to the 0in runtime tool.
1180
; Default value set to "".
1181
; ZeroInOptions = ""
1182
 
1183
; Initial seed for the Random Number Generator (RNG) of the root thread (SystemVerilog).
1184
; Sv_Seed = 0
1185
 
1186
; Specify if the solver should attempt to ignore overflow/underflow semantics
1187
; for arithmetic constraints (multiply, addition, subtraction) in order to
1188
; improve performance. The "solveignoreoverflow" attribute can be specified on
1189
; a per-call basis to randomize() to override this setting.
1190
; The default value is 0 (overflow/underflow is not ignored). Set to 1 to
1191
; ignore overflow/underflow.
1192
; SolveIgnoreOverflow = 0
1193
 
1194
; Maximum size of dynamic arrays that are resized during randomize().
1195
; The default is 1000. A value of 0 indicates no limit.
1196
; SolveArrayResizeMax = 1000
1197
 
1198
; Error message severity when randomize() failure is detected (SystemVerilog).
1199
; The default is 0 (no error).
1200
; 0 = No error  1 = Warning  2 = Error  3 = Failure  4 = Fatal
1201
; SolveFailSeverity = 0
1202
 
1203
; Enable/disable debug information for randomize() failures (SystemVerilog).
1204
; The default is 0 (disabled). Set to 1 to enable.
1205
; SolveFailDebug = 0
1206
 
1207
; Maximum size of the solution graph that may be generated during randomize().
1208
; This value can be used to force randomize() to abort if the memory
1209
; requirements of the constraint scenario exceeds the specified limit. This
1210
; value is specified in 1000s of nodes.
1211
; The default is 10000. A value of 0 indicates no limit.
1212
; SolveGraphMaxSize = 10000
1213
 
1214
; Maximum number of evaluations that may be performed on the solution graph
1215
; generated during randomize(). This value can be used to force randomize() to
1216
; abort if the complexity of the constraint scenario (in time) exceeds the
1217
; specified limit. This value is specified in 10000s of evaluations.
1218
; The default is 10000. A value of 0 indicates no limit.
1219
; SolveGraphMaxEval = 10000
1220
 
1221
; Use SolveFlags to specify options that will guide the behavior of the
1222
; constraint solver. These options may improve the performance of the
1223
; constraint solver for some testcases, and decrease the performance of
1224
; the constraint solver for others.
1225
; The default value is "" (no options).
1226
;
1227
; Valid flags are:
1228
;    i = disable bit interleaving for >, >=, <, <= constraints
1229
;    n = disable bit interleaving for all constraints
1230
;    r = reverse bit interleaving
1231
;
1232
; SolveFlags =
1233
 
1234
; Specify random sequence compatiblity with a prior letter release. This
1235
; option is used to get the same random sequences during simulation as
1236
; as a prior letter release. Only prior letter releases (of the current
1237
; number release) are allowed.
1238
; Note: To achieve the same random sequences, solver optimizations and/or
1239
; bug fixes introduced since the specified release may be disabled -
1240
; yielding the performance / behavior of the prior release.
1241
; Default value set to "" (random compatibility not required).
1242
; SolveRev =
1243
 
1244
; Environment variable expansion of command line arguments has been depricated
1245
; in favor shell level expansion.  Universal environment variable expansion
1246
; inside -f files is support and continued support for MGC Location Maps provide
1247
; alternative methods for handling flexible pathnames.
1248
; The following line may be uncommented and the value set to 1 to re-enable this
1249
; deprecated behavior.  The default value is 0.
1250
; DeprecatedEnvironmentVariableExpansion = 0
1251
 
1252
; Turn on/off collapsing of bus ports in VCD dumpports output
1253
DumpportsCollapse = 1
1254
 
1255
; Location of Multi-Level Verification Component (MVC) installation.
1256
; The default location is the product installation directory.
1257
; MvcHome = $MODEL_TECH/...
1258
 
1259
[lmc]
1260
; The simulator's interface to Logic Modeling's SmartModel SWIFT software
1261
libsm = $MODEL_TECH/libsm.sl
1262
; The simulator's interface to Logic Modeling's SmartModel SWIFT software (Windows NT)
1263
; libsm = $MODEL_TECH/libsm.dll
1264
;  Logic Modeling's SmartModel SWIFT software (HP 9000 Series 700)
1265
; libswift = $LMC_HOME/lib/hp700.lib/libswift.sl
1266
;  Logic Modeling's SmartModel SWIFT software (IBM RISC System/6000)
1267
; libswift = $LMC_HOME/lib/ibmrs.lib/swift.o
1268
;  Logic Modeling's SmartModel SWIFT software (Sun4 Solaris)
1269
; libswift = $LMC_HOME/lib/sun4Solaris.lib/libswift.so
1270
;  Logic Modeling's SmartModel SWIFT software (Windows NT)
1271
; libswift = $LMC_HOME/lib/pcnt.lib/libswift.dll
1272
;  Logic Modeling's SmartModel SWIFT software (non-Enterprise versions of Linux)
1273
; libswift = $LMC_HOME/lib/x86_linux.lib/libswift.so
1274
;  Logic Modeling's SmartModel SWIFT software (Enterprise versions of Linux)
1275
; libswift = $LMC_HOME/lib/linux.lib/libswift.so
1276
 
1277
; The simulator's interface to Logic Modeling's hardware modeler SFI software
1278
libhm = $MODEL_TECH/libhm.sl
1279
; The simulator's interface to Logic Modeling's hardware modeler SFI software (Windows NT)
1280
; libhm = $MODEL_TECH/libhm.dll
1281
;  Logic Modeling's hardware modeler SFI software (HP 9000 Series 700)
1282
; libsfi = /lib/hp700/libsfi.sl
1283
;  Logic Modeling's hardware modeler SFI software (IBM RISC System/6000)
1284
; libsfi = /lib/rs6000/libsfi.a
1285
;  Logic Modeling's hardware modeler SFI software (Sun4 Solaris)
1286
; libsfi = /lib/sun4.solaris/libsfi.so
1287
;  Logic Modeling's hardware modeler SFI software (Windows NT)
1288
; libsfi = /lib/pcnt/lm_sfi.dll
1289
;  Logic Modeling's hardware modeler SFI software (Linux)
1290
; libsfi = /lib/linux/libsfi.so
1291
 
1292
[msg_system]
1293
; Change a message severity or suppress a message.
1294
; The format is:  = [,...]
1295
; suppress can be used to achieve +nowarn functionality
1296
; The format is: suppress = ,,[,,...]
1297
; Examples:
1298
;   note = 3009
1299
;   warning = 3033
1300
;   error = 3010,3016
1301
;   fatal = 3016,3033
1302
;   suppress = 3009,3016,3043
1303
;   suppress = 3009,CNNODP,3043,TFMPC
1304
; The command verror  can be used to get the complete
1305
; description of a message.
1306
 
1307
; Control transcripting of Verilog display system task messages and
1308
; PLI/FLI print function call messages.  The system tasks include
1309
; $display[bho], $strobe[bho], Smonitor{bho], and $write[bho].  They
1310
; also include the analogous file I/O tasks that write to STDOUT
1311
; (i.e. $fwrite or $fdisplay).  The PLI/FLI calls include io_printf,
1312
; vpi_printf, mti_PrintMessage, and mti_PrintFormatted.  The default
1313
; is to have messages appear only in the transcript.  The other
1314
; settings are to send messages to the wlf file only (messages that
1315
; are recorded in the wlf file can be viewed in the MsgViewer) or
1316
; to both the transcript and the wlf file.  The valid values are
1317
;    tran  {transcript only (default)}
1318
;    wlf   {wlf file only}
1319
;    both  {transcript and wlf file}
1320
; displaymsgmode = tran
1321
 
1322
; Control transcripting of elaboration/runtime messages not
1323
; addressed by the displaymsgmode setting.  The default is to
1324
; have messages appear in the transcript and recorded in the wlf
1325
; file (messages that are recorded in the wlf file can be viewed
1326
; in the MsgViewer).  The other settings are to send messages
1327
; only to the transcript or only to the wlf file.  The valid
1328
; values are
1329
;    both  {default}
1330
;    tran  {transcript only}
1331
;    wlf   {wlf file only}
1332
; msgmode = both
1333
[Project]
1334
; Warning -- Do not edit the project properties directly.
1335
;            Property names are dynamic in nature and property
1336
;            values have special syntax.  Changing property data directly
1337
;            can result in a corrupt MPF file.  All project properties
1338
;            can be modified through project window dialogs.
1339
Project_Version = 6
1340
Project_DefaultLib = work
1341
Project_SortMethod = unused
1342
Project_Files_Count = 0
1343
Project_Sim_Count = 0
1344
Project_Folder_Count = 0
1345
Echo_Compile_Output = 0
1346
Save_Compile_Report = 1
1347
Project_Opt_Count = 0
1348
ForceSoftPaths = 0
1349
ProjectStatusDelay = 5000
1350
VERILOG_DoubleClick = Edit
1351
VERILOG_CustomDoubleClick =
1352
SYSTEMVERILOG_DoubleClick = Edit
1353
SYSTEMVERILOG_CustomDoubleClick =
1354
VHDL_DoubleClick = Edit
1355
VHDL_CustomDoubleClick =
1356
PSL_DoubleClick = Edit
1357
PSL_CustomDoubleClick =
1358
TEXT_DoubleClick = Edit
1359
TEXT_CustomDoubleClick =
1360
SYSTEMC_DoubleClick = Edit
1361
SYSTEMC_CustomDoubleClick =
1362
TCL_DoubleClick = Edit
1363
TCL_CustomDoubleClick =
1364
MACRO_DoubleClick = Edit
1365
MACRO_CustomDoubleClick =
1366
VCD_DoubleClick = Edit
1367
VCD_CustomDoubleClick =
1368
SDF_DoubleClick = Edit
1369
SDF_CustomDoubleClick =
1370
XML_DoubleClick = Edit
1371
XML_CustomDoubleClick =
1372
LOGFILE_DoubleClick = Edit
1373
LOGFILE_CustomDoubleClick =
1374
UCDB_DoubleClick = Edit
1375
UCDB_CustomDoubleClick =
1376
Project_Major_Version = 6
1377
Project_Minor_Version = 5

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.