OpenCores
URL https://opencores.org/ocsvn/pltbutils/pltbutils/trunk

Subversion Repositories pltbutils

[/] [pltbutils/] [trunk/] [bench/] [vhdl/] [tb_pltbutils.vhd] - Blame information for rev 109

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 pela
----------------------------------------------------------------------
2
----                                                              ----
3
---- PlTbUtils Testbench                                          ----
4
----                                                              ----
5
---- This file is part of the PlTbUtils project                   ----
6
---- http://opencores.org/project,pltbutils                       ----
7
----                                                              ----
8
---- Description                                                  ----
9
---- PlTbUtils is a collection of functions, procedures and       ----
10
---- components for easily creating stimuli and checking response ----
11
---- in automatic self-checking testbenches.                      ----
12
----                                                              ----
13
---- This is a testbench file, which is used to verify            ----
14
---- - pltbutils_func_pkg                                         ----
15
---- - pltbutils_comp                                           ----
16
---- This testbench is NOT selfchecking or automatic.             ----
17
---- Manually check the transcript and waveform, when simulating. ----
18
---- It prints some informative text in the transcript, to help   ----
19
---- with the manual inspection.                                  ----
20
----                                                              ----
21
----                                                              ----
22
---- To Do:                                                       ----
23
---- -                                                            ----
24
----                                                              ----
25
---- Author(s):                                                   ----
26 97 pela
---- - Per Larsson, pela.opencores@gmail.com                      ----
27 2 pela
----                                                              ----
28
----------------------------------------------------------------------
29
----                                                              ----
30 107 pela
---- Copyright (C) 2013-2020 Authors and OPENCORES.ORG            ----
31 2 pela
----                                                              ----
32
---- This source file may be used and distributed without         ----
33
---- restriction provided that this copyright statement is not    ----
34
---- removed from the file and that any derivative work contains  ----
35
---- the original copyright notice and the associated disclaimer. ----
36
----                                                              ----
37
---- This source file is free software; you can redistribute it   ----
38
---- and/or modify it under the terms of the GNU Lesser General   ----
39
---- Public License as published by the Free Software Foundation; ----
40
---- either version 2.1 of the License, or (at your option) any   ----
41
---- later version.                                               ----
42
----                                                              ----
43
---- This source is distributed in the hope that it will be       ----
44
---- useful, but WITHOUT ANY WARRANTY; without even the implied   ----
45
---- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ----
46
---- PURPOSE. See the GNU Lesser General Public License for more  ----
47
---- details.                                                     ----
48
----                                                              ----
49
---- You should have received a copy of the GNU Lesser General    ----
50
---- Public License along with this source; if not, download it   ----
51
---- from http://www.opencores.org/lgpl.shtml                     ----
52
----                                                              ----
53
----------------------------------------------------------------------
54
library ieee;
55
use ieee.std_logic_1164.all;
56
use ieee.numeric_std.all;
57
use std.textio.all;
58
use work.txt_util.all;
59
use work.pltbutils_func_pkg.all;
60
use work.pltbutils_comp_pkg.all;
61
 
62
entity tb_pltbutils is
63
  generic (
64 107 pela
    G_SKIPTESTS                 : std_logic_vector := (
65
                                    '0', -- Dummy
66
                                    '0', -- Test 1
67
                                    '0', -- Test 2
68
                                    '0', -- Test 3
69
                                    '0', -- Test 4: NonSkipTest 
70
                                    '1'  -- Test 5: SkipTest
71
                                  );
72
    G_CLK_PERIOD                : time := 10 ns;
73
    G_CHECKFILE_VERBOSITY       : integer := 10;
74
    G_BINTESTFILE_HEADER        : string := "Bintestfile R   ";
75
    G_BINTESTFILE_LEN           : integer := 528;
76
    G_BINTESTFILE_REFERENCE     : string := "../../../bench/testfiles/bintestfile_reference.bin";
77
    G_BINTESTFILE_CORRECT       : string := "../../../bench/testfiles/bintestfile_correct.bin";
78
    G_BINTESTFILE_ERROR         : string := "../../../bench/testfiles/bintestfile_error.bin";
79
    G_BINTESTFILE_SHORTER       : string := "../../../bench/testfiles/bintestfile_shorter.bin";
80
    G_BINTESTFILE_LONGER        : string := "../../../bench/testfiles/bintestfile_longer.txt";
81
    G_TEXTTESTFILE_REFERENCE    : string := "../../../bench/testfiles/texttestfile_reference.txt";
82
    G_TEXTTESTFILE_CORRECT      : string := "../../../bench/testfiles/texttestfile_correct.txt";
83
    G_TEXTTESTFILE_ERROR        : string := "../../../bench/testfiles/texttestfile_error.txt";
84
    G_TEXTTESTFILE_SHORTER      : string := "../../../bench/testfiles/texttestfile_shorter.txt";
85
    G_TEXTTESTFILE_LONGER       : string := "../../../bench/testfiles/texttestfile_longer.txt";
86
    G_DATTESTFILE_REFERENCE     : string := "../../../bench/testfiles/dattestfile_reference.dat";
87
    G_DATTESTFILE_CORRECT       : string := "../../../bench/testfiles/dattestfile_correct.dat";
88
    G_DATTESTFILE_ERROR         : string := "../../../bench/testfiles/dattestfile_error.dat";
89
    G_DATTESTFILE_SHORTER       : string := "../../../bench/testfiles/dattestfile_shorter.dat";
90
    G_DATTESTFILE_LONGER        : string := "../../../bench/testfiles/dattestfile_longer.dat"
91 2 pela
  );
92
end entity tb_pltbutils;
93
 
94
architecture bhv of tb_pltbutils is
95
 
96
  -- Simulation status- and control signals
97 38 pela
  -- for accessing .stop_sim and for viewing in waveform window
98
  signal pltbs          : pltbs_t := C_PLTBS_INIT;
99 2 pela
 
100
  -- Expected number of checks and number of errors to be reported
101
  -- by pltbutils. The counting is made by variables, but the
102
  -- variables are copied to these signals for easier viewing in
103
  -- the simulator's waveform window.
104
  signal expected_checks_cnt : integer := 0;
105
  signal expected_errors_cnt : integer := 0;
106
 
107
  -- DUT stimuli and response signals
108
  signal clk            : std_logic;
109
  signal clk_cnt        : integer := 0;
110
  signal clk_cnt_clr    : boolean := false;
111
  signal s_i            : integer;
112
  signal s_sl           : std_logic;
113
  signal s_slv          : std_logic_vector(7 downto 0);
114
  signal s_u            : unsigned(7 downto 0);
115
  signal s_s            : unsigned(7 downto 0);
116 99 pela
  signal s_b            : boolean;
117
  signal s_time         : time;
118 89 pela
  signal s_str_exp      : string(1 to 44);
119
  signal s_str1         : string(1 to 44);
120
  signal s_str2         : string(1 to 44);
121
  signal s_str3         : string(1 to 43);
122 107 pela
  signal s_str4         : string(1 to 45);
123
 
124
 
125
  constant C_EXPECTED_SUCCESS : boolean := false;
126
  constant C_EXPECTED_FAIL    : boolean := true;
127
 
128
  procedure check_cnt (
129
    constant C_EXPECT_FAIL    : boolean;
130
    variable v_checks_cnt   : inout integer;
131
    variable v_errors_cnt   : inout integer;
132
    signal   checks_cnt     : out   integer;
133
    signal   errors_cnt     : out   integer
134
  ) is
135
  begin
136
    v_checks_cnt := v_checks_cnt + 1;
137
    if C_EXPECT_FAIL then
138
      v_errors_cnt := v_errors_cnt + 1;
139
    end if;
140
    checks_cnt <= v_checks_cnt;
141
    errors_cnt <= v_errors_cnt;
142
  end procedure check_cnt;
143 2 pela
 
144
begin
145
 
146
  -- Clock generator
147
  clkgen0 : pltbutils_clkgen
148
    generic map(
149
      G_PERIOD      => G_CLK_PERIOD
150
    )
151
    port map(
152
      clk_o         => clk,
153 38 pela
      stop_sim_i    => pltbs.stop_sim
154 2 pela
    );
155
 
156
  -- Clock cycle counter
157
  p_clk_cnt : process (clk_cnt_clr, clk)
158
  begin
159
    if clk_cnt_clr then
160
      clk_cnt <= 0;
161
    elsif rising_edge(clk) then
162
      clk_cnt <= clk_cnt + 1;
163
    end if;
164
  end process p_clk_cnt;
165
 
166
  -- Testcase
167
  p_tc1 : process
168 38 pela
    variable pltbv                 : pltbv_t := C_PLTBV_INIT;
169 25 pela
    variable v_expected_tests_cnt  : integer := 0;
170 101 pela
    variable v_expected_skiptests_cnt : integer := 0;
171 2 pela
    variable v_expected_checks_cnt : integer := 0;
172
    variable v_expected_errors_cnt : integer := 0;
173 107 pela
    variable v_expected_data       : integer;
174
    variable v_actual_data         : integer;
175
    variable f_status              : file_open_status;
176 109 pela
    file     txtfile               : text;
177
    type     charfile              is file of character;
178 107 pela
    file     binfile               : charfile;
179 109 pela
    variable l                     : line;
180 107 pela
    variable c                     : character;
181
    variable i                     : integer;
182
    variable v_errcnt              : integer;
183 109 pela
    variable msg                   : line;
184
    variable line_num              : integer := 0;
185 2 pela
  begin
186
 
187 107 pela
    print(lf & "<Testing startsim()>");
188 101 pela
    startsim("tc1", G_SKIPTESTS, pltbv, pltbs);
189 2 pela
    wait until rising_edge(clk);
190 38 pela
    assert (pltbv.test_num = 0) and (pltbs.test_num  = 0)
191 2 pela
      report "test_num after startsim() incorrect"
192
      severity error;
193
    print("<Done testing startsim()>");
194
 
195 107 pela
    print(lf & "<Testing starttest() with auto-incrementing test_num>");
196 101 pela
    starttest("StartTest1", pltbv, pltbs);
197 25 pela
    v_expected_tests_cnt := v_expected_tests_cnt + 1;
198 2 pela
    wait until rising_edge(clk);
199 38 pela
    assert (pltbv.test_num = 1) and (pltbs.test_num  = 1)
200 25 pela
      report "test_num after starttest() incorrect"
201 2 pela
      severity error;
202 25 pela
    print("<Done testing starttest() with auto-incrementing test_num()>");
203 2 pela
 
204 107 pela
    print(lf & "<Testing endtest()>");
205 38 pela
    endtest(pltbv, pltbs);
206 25 pela
    print("<Done testing endtest()>");
207
 
208 107 pela
    print(lf & "<Testing starttest() with explicit test_num>");
209 101 pela
    starttest(3, "StartTest2", pltbv, pltbs);
210 25 pela
    v_expected_tests_cnt := v_expected_tests_cnt + 1;
211 2 pela
    wait until rising_edge(clk);
212 38 pela
    assert (pltbv.test_num = 3) and (pltbs.test_num  = 3)
213 2 pela
      report "test_num after startsim() incorrect"
214
      severity error;
215 25 pela
    print("<Done testing starttest() with explicit test_num>");
216 101 pela
 
217 107 pela
    print(lf & "<Testing starttest() and is_test_active() for non-skipped test>");
218 101 pela
    starttest(4, "NoSkipTest", pltbv, pltbs);
219
    if is_test_active(pltbv) then
220
      v_expected_tests_cnt := v_expected_tests_cnt + 1;
221
      wait until rising_edge(clk);
222
    else
223
      v_expected_skiptests_cnt := v_expected_skiptests_cnt + 1;
224
      wait until rising_edge(clk);
225
      assert false
226
        report "Executing test that should have been skipped"
227
        severity error;
228
    end if;
229
    endtest(pltbv, pltbs);
230
    print("<Done testing starttest() and is_test_active() for non-skipped test>");
231
 
232 107 pela
    print(lf & "<Testing starttest() and is_test_active() for skipped test>");
233 101 pela
    starttest(5, "SkipTest", pltbv, pltbs);
234
    if is_test_active(pltbv) then
235
      v_expected_tests_cnt := v_expected_tests_cnt + 1;
236
      wait until rising_edge(clk);
237
      assert false
238
        report "Executing test that should have been skipped"
239
        severity error;
240
    else
241
      --check("Checking if check() detects that it should not be called in skipped test", false, pltbv, pltbs);
242
      v_expected_skiptests_cnt := v_expected_skiptests_cnt + 1;
243
    end if;
244
    endtest(pltbv, pltbs);
245
    print("<Done testing starttest() and is_test_active() for skipped test>");
246 2 pela
 
247 107 pela
    print(lf & "<Testing waitclks()>");
248 2 pela
    clk_cnt_clr <= true;
249
    wait until rising_edge(clk);
250
    clk_cnt_clr <= false;
251
    wait until rising_edge(clk);
252 38 pela
    waitclks(10, clk, pltbv, pltbs);
253 2 pela
    assert clk_cnt = 10
254
      report "clk_cnt after waitclks() incorrect:" & integer'image(clk_cnt) &
255
             " expected:" & integer'image(10)
256
      severity error;
257
    print("<Done testing waitclks()>");
258
 
259 107 pela
    print(lf & "<Testing check() integer>");
260 2 pela
    s_i <= 0;
261
    wait until rising_edge(clk);
262 38 pela
    check("Testing correct integer = 0", s_i, 0, pltbv, pltbs);
263 107 pela
    check_cnt(C_EXPECTED_SUCCESS, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
264 2 pela
    s_i <= 1;
265
    wait until rising_edge(clk);
266 38 pela
    check("Testing correct integer = 1", s_i, 1, pltbv, pltbs);
267 107 pela
    check_cnt(C_EXPECTED_SUCCESS, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
268 2 pela
    s_i <= 17;
269
    wait until rising_edge(clk);
270 38 pela
    check("Testing incorrect integer = 17", s_i, 18, pltbv, pltbs);
271 107 pela
    check_cnt(C_EXPECTED_FAIL, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
272 2 pela
    s_i <= -1;
273
    wait until rising_edge(clk);
274 38 pela
    check("Testing negative integer = -1", s_i, -1, pltbv, pltbs);
275 107 pela
    check_cnt(C_EXPECTED_SUCCESS, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
276 2 pela
    print("<Done testing check() integer>");
277
 
278 107 pela
    print(lf & "<Testing check() std_logic>");
279 2 pela
    s_sl <= '0';
280
    wait until rising_edge(clk);
281 38 pela
    check("Testing correct std_logic = '0'", s_sl, '0', pltbv, pltbs);
282 107 pela
    check_cnt(C_EXPECTED_SUCCESS, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
283 2 pela
    s_sl <= '1';
284
    wait until rising_edge(clk);
285 38 pela
    check("Testing correct std_logic = '1'", s_sl, '1', pltbv, pltbs);
286 107 pela
    check_cnt(C_EXPECTED_SUCCESS, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
287 2 pela
    s_sl <= 'X';
288
    wait until rising_edge(clk);
289 38 pela
    check("Testing incorrect std_logic = '1'", s_sl, '1', pltbv, pltbs);
290 107 pela
    check_cnt(C_EXPECTED_FAIL, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
291 2 pela
    print("<Done testing check() std_logic>");
292
 
293 107 pela
    print(lf & "<Testing check() std_logic against integer>");
294 2 pela
    s_sl <= '0';
295
    wait until rising_edge(clk);
296 38 pela
    check("Testing correct std_logic = '0'", s_sl, 0, pltbv, pltbs);
297 107 pela
    check_cnt(C_EXPECTED_SUCCESS, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
298 2 pela
    s_sl <= '1';
299
    wait until rising_edge(clk);
300 38 pela
    check("Testing correct std_logic = '1'", s_sl, 1, pltbv, pltbs);
301 107 pela
    check_cnt(C_EXPECTED_SUCCESS, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
302 2 pela
    s_sl <= 'X';
303
    wait until rising_edge(clk);
304 38 pela
    check("Testing incorrect std_logic = '1'", s_sl, 1, pltbv, pltbs);
305 107 pela
    check_cnt(C_EXPECTED_FAIL, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
306 2 pela
    s_sl <= '1';
307
    wait until rising_edge(clk);
308 38 pela
    check("Testing std_logic = '1' with incorrect expected", s_sl, 2, pltbv, pltbs);
309 107 pela
    check_cnt(C_EXPECTED_FAIL, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
310 2 pela
    print("<Done testing check() std_logic against integer>");
311
 
312 107 pela
    print(lf & "<Testing check() std_logic_vector>");
313 2 pela
    s_slv <= x"00";
314
    wait until rising_edge(clk);
315 38 pela
    check("Testing correct std_logic_vector = x'00'", s_slv, x"00", pltbv, pltbs);
316 107 pela
    check_cnt(C_EXPECTED_SUCCESS, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
317 2 pela
    s_slv <= x"47";
318
    wait until rising_edge(clk);
319 38 pela
    check("Testing correct std_logic_vector = x'47'", s_slv, x"47", pltbv, pltbs);
320 107 pela
    check_cnt(C_EXPECTED_SUCCESS, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
321 2 pela
    s_slv <= x"11";
322
    wait until rising_edge(clk);
323 38 pela
    check("Testing incorrect std_logic_vector = x'11'", s_slv, x"10", pltbv, pltbs);
324 107 pela
    check_cnt(C_EXPECTED_FAIL, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
325 2 pela
    print("<Done testing check() std_logic_vector>");
326
 
327 107 pela
    print(lf & "<Testing check() std_logic_vector with mask>");
328 2 pela
    s_slv <= x"47";
329
    wait until rising_edge(clk);
330 38 pela
    check("Testing std_logic_vector = x'47' with correct nibble mask", s_slv, x"57", x"0F", pltbv, pltbs);
331 107 pela
    check_cnt(C_EXPECTED_SUCCESS, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
332 2 pela
    s_slv <= x"47";
333
    wait until rising_edge(clk);
334 38 pela
    check("Testing std_logic_vector = x'47' with incorrect nibble mask", s_slv, x"57", x"F0", pltbv, pltbs);
335 107 pela
    check_cnt(C_EXPECTED_FAIL, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
336 2 pela
    print("<Done testing check() std_logic_vector with mask>");
337
 
338 107 pela
    print(lf & "<Testing check() std_logic_vector against integer>");
339 2 pela
    s_slv <= x"00";
340
    wait until rising_edge(clk);
341 38 pela
    check("Testing correct std_logic_vector = x'00'", s_slv, 0, pltbv, pltbs);
342 107 pela
    check_cnt(C_EXPECTED_SUCCESS, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
343 2 pela
    s_slv <= x"47";
344
    wait until rising_edge(clk);
345 38 pela
    check("Testing correct std_logic_vector = x'47'", s_slv, 16#47#, pltbv, pltbs);
346 107 pela
    check_cnt(C_EXPECTED_SUCCESS, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
347 2 pela
    s_slv <= x"11";
348
    wait until rising_edge(clk);
349 38 pela
    check("Testing incorrect std_logic_vector = x'11'", s_slv, 16#10#, pltbv, pltbs);
350 107 pela
    check_cnt(C_EXPECTED_FAIL, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
351 2 pela
    s_slv <= x"FF";
352
    wait until rising_edge(clk);
353 38 pela
    check("Testing negative std_logic_vector = x'FF'", s_slv, -1, pltbv, pltbs);
354 107 pela
    check_cnt(C_EXPECTED_SUCCESS, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
355 2 pela
    print("<Done testing check() std_logic_vector against integer>");
356
 
357 107 pela
    print(lf & "<Testing check() std_logic_vector with mask against integer>");
358 2 pela
    s_slv <= x"47";
359
    wait until rising_edge(clk);
360 38 pela
    check("Testing std_logic_vector = x'47' with correct nibble mask", s_slv, 16#57#, x"0F", pltbv, pltbs);
361 107 pela
    check_cnt(C_EXPECTED_SUCCESS, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
362 2 pela
    s_slv <= x"47";
363
    wait until rising_edge(clk);
364 38 pela
    check("Testing std_logic_vector = x'47' with incorrect nibble mask", s_slv, 16#57#, x"F0", pltbv, pltbs);
365 107 pela
    check_cnt(C_EXPECTED_FAIL, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
366 2 pela
    print("<Done testing check() std_logic_vector with mask against integer>");
367
 
368 107 pela
    print(lf & "<Testing check() unsigned>");
369 2 pela
    s_u <= x"00";
370
    wait until rising_edge(clk);
371 38 pela
    check("Testing correct unsigned = x'00'", s_u, x"00", pltbv, pltbs);
372 107 pela
    check_cnt(C_EXPECTED_SUCCESS, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
373 2 pela
    s_u <= x"47";
374
    wait until rising_edge(clk);
375 38 pela
    check("Testing correct unsigned = x'47'", s_u, x"47", pltbv, pltbs);
376 107 pela
    check_cnt(C_EXPECTED_SUCCESS, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
377 2 pela
    s_u <= x"11";
378
    wait until rising_edge(clk);
379 38 pela
    check("Testing incorrect unsigned = x'11'", s_u, x"10", pltbv, pltbs);
380 107 pela
    check_cnt(C_EXPECTED_FAIL, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
381 2 pela
    print("<Done testing check() unsigned>");
382
 
383 107 pela
    print(lf & "<Testing check() unsigned against integer>");
384 2 pela
    s_u <= x"00";
385
    wait until rising_edge(clk);
386 38 pela
    check("Testing correct unsigned = x'00'", s_u, 0, pltbv, pltbs);
387 107 pela
    check_cnt(C_EXPECTED_SUCCESS, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
388 2 pela
    s_u <= x"47";
389
    wait until rising_edge(clk);
390 38 pela
    check("Testing correct unsigned = x'47'", s_u, 16#47#, pltbv, pltbs);
391 107 pela
    check_cnt(C_EXPECTED_SUCCESS, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
392 2 pela
    s_u <= x"11";
393
    wait until rising_edge(clk);
394 38 pela
    check("Testing incorrect unsigned = x'11'", s_u, 16#10#, pltbv, pltbs);
395 107 pela
    check_cnt(C_EXPECTED_FAIL, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
396 2 pela
    print("<Done testing check() unsigned against integer>");
397
 
398 107 pela
    print(lf & "<Testing check() signed>");
399 2 pela
    s_s <= x"00";
400
    wait until rising_edge(clk);
401 38 pela
    check("Testing correct signed = x'00'", s_s, x"00", pltbv, pltbs);
402 107 pela
    check_cnt(C_EXPECTED_SUCCESS, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
403 2 pela
    s_s <= x"47";
404
    wait until rising_edge(clk);
405 38 pela
    check("Testing correct signed = x'47'", s_s, x"47", pltbv, pltbs);
406 107 pela
    check_cnt(C_EXPECTED_SUCCESS, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
407 2 pela
    s_s <= x"11";
408
    wait until rising_edge(clk);
409 38 pela
    check("Testing incorrect signed = x'11'", s_s, x"10", pltbv, pltbs);
410 107 pela
    check_cnt(C_EXPECTED_FAIL, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
411 2 pela
    s_s <= x"FF";
412
    wait until rising_edge(clk);
413 38 pela
    check("Testing negative signed = x'FF'", s_s, x"FF", pltbv, pltbs);
414 107 pela
    check_cnt(C_EXPECTED_SUCCESS, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
415 2 pela
    print("<Done testing check() signed>");
416
 
417 107 pela
    print(lf & "<Testing check() signed against integer>");
418 2 pela
    s_s <= x"00";
419
    wait until rising_edge(clk);
420 38 pela
    check("Testing correct signed = x'00'", s_s, 0, pltbv, pltbs);
421 107 pela
    check_cnt(C_EXPECTED_SUCCESS, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
422 2 pela
    s_s <= x"47";
423
    wait until rising_edge(clk);
424 38 pela
    check("Testing correct signed = x'47'", s_s, 16#47#, pltbv, pltbs);
425 107 pela
    check_cnt(C_EXPECTED_SUCCESS, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
426 2 pela
    s_s <= x"11";
427
    wait until rising_edge(clk);
428 38 pela
    check("Testing incorrect signed = x'11'", s_s, 16#10#, pltbv, pltbs);
429 107 pela
    check_cnt(C_EXPECTED_FAIL, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
430 2 pela
    s_s <= x"FF";
431
    wait until rising_edge(clk);
432 99 pela
    print("NOTE: Skipping test with negative signed. There seem to be a bug in ModelSim.");
433 97 pela
    --print("The following check fails in ModelSim for unknown reason." &
434
    --      " It causes mismatch between expected number of errors" &
435
    --      " and the number presented by endsim()");
436
    --check("Testing negative signed = x'FF'", s_s, -1, pltbv, pltbs);
437 107 pela
    --check_cnt(C_EXPECTED_SUCCESS, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);    
438 99 pela
    print("<Done testing check() signed against integer>");
439 2 pela
 
440 107 pela
    print(lf & "<Testing check() boolean>");
441 99 pela
    s_b <= false;
442
    wait until rising_edge(clk);
443
    check("Testing correct boolean = false", s_b, false, pltbv, pltbs);
444 107 pela
    check_cnt(C_EXPECTED_SUCCESS, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
445 99 pela
    s_b <= true;
446
    wait until rising_edge(clk);
447
    check("Testing correct boolean = true", s_b, true, pltbv, pltbs);
448 107 pela
    check_cnt(C_EXPECTED_SUCCESS, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
449 99 pela
    s_b <= false;
450
    wait until rising_edge(clk);
451
    check("Testing incorrect boolean = true", s_b, true, pltbv, pltbs);
452 107 pela
    check_cnt(C_EXPECTED_FAIL, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
453 99 pela
    print("<Done testing check() boolean>");
454
 
455 107 pela
    print(lf & "<Testing check() boolean against integer>");
456 99 pela
    s_b <= false;
457
    wait until rising_edge(clk);
458
    check("Testing correct boolean = false", s_b, 0, pltbv, pltbs);
459 107 pela
    check_cnt(C_EXPECTED_SUCCESS, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
460 99 pela
    s_b <= true;
461
    wait until rising_edge(clk);
462
    check("Testing correct boolean = true", s_b, 1, pltbv, pltbs);
463 107 pela
    check_cnt(C_EXPECTED_SUCCESS, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
464 99 pela
    s_b <= false;
465
    wait until rising_edge(clk);
466
    check("Testing incorrect boolean = true", s_b, 1, pltbv, pltbs);
467 107 pela
    check_cnt(C_EXPECTED_FAIL, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
468 99 pela
    s_b <= true;
469
    wait until rising_edge(clk);
470
    check("Testing boolean = true with incorrect expected", s_b, 2, pltbv, pltbs);
471 107 pela
    check_cnt(C_EXPECTED_FAIL, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
472 99 pela
    print("<Done testing check() boolean against integer>");
473
 
474 107 pela
    print(lf & "<Testing check() time>");
475 99 pela
    s_time <= 0 sec;
476
    wait until rising_edge(clk);
477
    check("Testing correct time = 0 sec", s_time, 0 sec, pltbv, pltbs);
478 107 pela
    check_cnt(C_EXPECTED_SUCCESS, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
479 99 pela
    s_time <= 47 ns;
480
    wait until rising_edge(clk);
481
    check("Testing correct time = 47 ns", s_time, 47 ns, pltbv, pltbs);
482 107 pela
    check_cnt(C_EXPECTED_SUCCESS, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
483 99 pela
    s_time <= 11 us;
484
    wait until rising_edge(clk);
485
    check("Testing incorrect time = 10 us", s_time, 10 us, pltbv, pltbs);
486 107 pela
    check_cnt(C_EXPECTED_FAIL, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
487 99 pela
    print("<Done testing check() time>");
488
 
489 107 pela
    print(lf & "<Testing check() time with tolerance>");
490 99 pela
    s_time <= 0 sec;
491
    wait until rising_edge(clk);
492
    check("Testing correct unsigned = 0 sec +/- 0 sec", s_time, 0 sec, 0 sec, pltbv, pltbs);
493 107 pela
    check_cnt(C_EXPECTED_SUCCESS, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
494 99 pela
    s_time <= 47 ns - 3 ns;
495
    wait until rising_edge(clk);
496
    check("Testing correct time = 47 ns +/- 5 ns", s_time, 47 ns, 5 ns, pltbv, pltbs);
497 107 pela
    check_cnt(C_EXPECTED_SUCCESS, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
498 99 pela
    s_time <= 10 us + 7 us;
499
    wait until rising_edge(clk);
500
    check("Testing incorrect time = 10 us +/- 5 us", s_time, 10 us, 5 us, pltbv, pltbs);
501 107 pela
    check_cnt(C_EXPECTED_FAIL, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
502 99 pela
    print("<Done testing check() time with tolerance>");
503
 
504 107 pela
    print(lf & "<Testing check() string>");
505 89 pela
    s_str_exp   <= string'("The quick brown fox jumps over the lazy dog.");
506
    s_str1      <= string'("The quick brown fox jumps over the lazy dog.");
507
    s_str2      <= string'("The quick brown dog jumps over the lazy fox.");
508
    s_str3      <= string'("The quick brown fox jumps over the lazy dog");
509
    s_str4      <= string'("The quick brown fox jumps over the lazy dog..");
510
    wait until rising_edge(clk);
511
    check("Testing correct string", s_str1, s_str_exp, pltbv, pltbs);
512 107 pela
    check_cnt(C_EXPECTED_SUCCESS, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
513 89 pela
    s_s <= x"47";
514
    wait until rising_edge(clk);
515
    check("Testing incorrect string with correct length", s_str2, s_str_exp, pltbv, pltbs);
516 107 pela
    check_cnt(C_EXPECTED_FAIL, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
517 89 pela
    s_s <= x"11";
518
    wait until rising_edge(clk);
519
    check("Testing too short string", s_str3, s_str_exp, pltbv, pltbs);
520 107 pela
    check_cnt(C_EXPECTED_FAIL, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
521 89 pela
    wait until rising_edge(clk);
522
    check("Testing too long string", s_str4, s_str_exp, pltbv, pltbs);
523 107 pela
    check_cnt(C_EXPECTED_FAIL, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
524 89 pela
    print("<Done testing check() string>");
525
 
526 107 pela
    print(lf & "<Testing check() boolean expression>");
527 2 pela
    s_i <= 0;
528
    wait until rising_edge(clk);
529 38 pela
    check("Testing correct boolean expression 0 = 16#00#", s_i = 16#00#, pltbv, pltbs);
530 107 pela
    check_cnt(C_EXPECTED_SUCCESS, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
531 2 pela
    s_i <= 47;
532
    wait until rising_edge(clk);
533 38 pela
    check("Testing incorrect boolean expression 47 < 16#10#", s_i < 16#10#, pltbv, pltbs);
534 107 pela
    check_cnt(C_EXPECTED_FAIL, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
535 2 pela
    print("<Done testing check() boolean expresson>");
536 25 pela
 
537 109 pela
    print(lf & "<Test readline() behaviour of the current simulator>");
538
    print("Some simulators seem to handle CR and LF differently from others.");
539
    file_open(f_status, txtfile, G_TEXTTESTFILE_REFERENCE, read_mode);
540
    print("DEBUG: file_open_status: " & file_open_status'image(f_status));
541
    if f_status /= open_ok then
542
      print("Failed to open " & G_TEXTTESTFILE_REFERENCE & " for reading: " & file_open_status'image(f_status));
543
    else
544
      while not endfile(txtfile) loop
545
        readline(txtfile, l);
546
        line_num := line_num + 1;
547
        write(msg, "Line " & str(line_num) & ": " & str(l'length) & " characters: ");
548
        for i in l'range loop
549
          write(msg, character'pos(l(i)));
550
          write(msg, string'(" "));
551
        end loop;
552
        writeline(output, msg);
553
      end loop;
554
    end if;
555
    print("<Done testing readline() behaviour>");
556
 
557 107 pela
    print(lf & "<Test reading binary files>");
558
    -- Check if the current simulator running on the current operating system supports
559
    -- reading binary files as file of character.
560
    -- That is a requirement for check_binfile().
561
    file_open(f_status, binfile, G_BINTESTFILE_REFERENCE, read_mode);
562
    print("DEBUG: file_open_status: " & file_open_status'image(f_status));
563
    --check("Status of opening binary file", f_status = open_ok, file_open_status'image(f_status), "open_ok", pltbv, pltbs);
564
    --check_cnt(C_EXPECTED_SUCCESS, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
565
    if f_status = open_ok then
566
      i := 0;
567
      v_errcnt := 0;
568
      while not endfile(binfile) loop
569
        read(binfile, c);
570
        v_actual_data := character'pos(c);
571
        if i < G_BINTESTFILE_HEADER'length then
572
          v_expected_data := character'pos(G_BINTESTFILE_HEADER(i+1));
573
        else
574
          v_expected_data := (i - G_BINTESTFILE_HEADER'length) mod 256;
575
        end if;
576
        if v_actual_data /= v_expected_data then
577
          v_errcnt := v_errcnt + 1;
578
          print("Error at offset " & str(i) & ": actual " & str(v_actual_data) & " expected " & str(v_expected_data));
579
        end if;
580
        i := i + 1;
581
      end loop;
582
      file_close(binfile);
583
      check("Number of errors while reading binary file", v_errcnt, 0, pltbv, pltbs);
584
      check_cnt(C_EXPECTED_SUCCESS, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
585
      check("Number of bytes read from binary file", i, G_BINTESTFILE_LEN, pltbv, pltbs);
586
      check_cnt(C_EXPECTED_SUCCESS, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
587
    end if;
588 109 pela
    print("<Done testing reading binary files>");
589 107 pela
 
590
    print(lf & "<Testing check_binfile()");
591
    check_binfile("Testing correct binary file", G_BINTESTFILE_CORRECT, G_BINTESTFILE_REFERENCE, G_CHECKFILE_VERBOSITY, pltbv, pltbs);
592
    check_cnt(C_EXPECTED_SUCCESS, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
593
    check_binfile("Testing binary file with error", G_BINTESTFILE_ERROR, G_BINTESTFILE_REFERENCE, G_CHECKFILE_VERBOSITY, pltbv, pltbs);
594
    check_cnt(C_EXPECTED_FAIL, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
595
    check_binfile("Testing shorter binary file", G_BINTESTFILE_SHORTER, G_BINTESTFILE_REFERENCE, G_CHECKFILE_VERBOSITY, pltbv, pltbs);
596
    check_cnt(C_EXPECTED_FAIL, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
597
    check_binfile("Testing longer binary file", G_BINTESTFILE_LONGER, G_BINTESTFILE_REFERENCE, G_CHECKFILE_VERBOSITY, pltbv, pltbs);
598
    check_cnt(C_EXPECTED_FAIL, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
599
    print("<Done testing check_binfile()");
600
 
601
    print(lf & "<Testing check_txtfile()");
602
    check_txtfile("Testing correct text file", G_TEXTTESTFILE_CORRECT, G_TEXTTESTFILE_REFERENCE, G_CHECKFILE_VERBOSITY, pltbv, pltbs);
603
    check_cnt(C_EXPECTED_SUCCESS, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
604
    check_txtfile("Testing text file with error", G_TEXTTESTFILE_ERROR, G_TEXTTESTFILE_REFERENCE, G_CHECKFILE_VERBOSITY, pltbv, pltbs);
605
    check_cnt(C_EXPECTED_FAIL, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
606
    check_txtfile("Testing shorter text file", G_TEXTTESTFILE_SHORTER, G_TEXTTESTFILE_REFERENCE, G_CHECKFILE_VERBOSITY, pltbv, pltbs);
607
    check_cnt(C_EXPECTED_FAIL, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
608
    check_txtfile("Testing longer text file", G_TEXTTESTFILE_LONGER, G_TEXTTESTFILE_REFERENCE, G_CHECKFILE_VERBOSITY, pltbv, pltbs);
609
    check_cnt(C_EXPECTED_FAIL, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
610
    print("<Done testing check_txtfile()");
611
 
612
    print(lf & "<Testing check_datfile()");
613
    check_datfile("Testing correct data file", G_DATTESTFILE_CORRECT, G_DATTESTFILE_REFERENCE, G_CHECKFILE_VERBOSITY, pltbv, pltbs);
614
    check_cnt(C_EXPECTED_SUCCESS, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
615
    check_datfile("Testing data file with error", G_DATTESTFILE_ERROR, G_DATTESTFILE_REFERENCE, G_CHECKFILE_VERBOSITY, pltbv, pltbs);
616
    check_cnt(C_EXPECTED_FAIL, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
617
    check_datfile("Testing shorter data file", G_DATTESTFILE_SHORTER, G_DATTESTFILE_REFERENCE, G_CHECKFILE_VERBOSITY, pltbv, pltbs);
618
    check_cnt(C_EXPECTED_FAIL, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
619
    check_datfile("Testing longer data file", G_DATTESTFILE_LONGER, G_DATTESTFILE_REFERENCE, G_CHECKFILE_VERBOSITY, pltbv, pltbs);
620
    check_cnt(C_EXPECTED_FAIL, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
621
    print("<Done testing check_datfile()");
622
 
623
    print(lf & "<Testing endtest()>");
624 38 pela
    endtest(pltbv, pltbs);
625 25 pela
    print("<Done testing endtest()>");
626 2 pela
 
627
    wait until rising_edge(clk);
628 107 pela
    print(lf & "<Testing endsim()>");
629 99 pela
    print("");
630 101 pela
    print("Expected number of tests:         " & str(v_expected_tests_cnt));
631
    print("Expected number of skipped tests: " & str(v_expected_skiptests_cnt));
632
    print("Expected number of checks:        " & str(v_expected_checks_cnt));
633
    print("Expected number of errors:        " & str(v_expected_errors_cnt));
634 99 pela
    if v_expected_errors_cnt = 0 then
635 107 pela
      print("Expected result:                  SUCCESS");
636 99 pela
    else
637 107 pela
      print("Expected result:                  FAIL");
638 99 pela
    end if;
639 2 pela
    wait until rising_edge(clk);
640 38 pela
    endsim(pltbv, pltbs, true);
641 2 pela
    wait until rising_edge(clk);
642
    print("<Done testing endsim()>");
643 107 pela
 
644 2 pela
    wait;
645
  end process p_tc1;
646
end architecture bhv;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.