OpenCores
URL https://opencores.org/ocsvn/product_code_iterative_decoder/product_code_iterative_decoder/trunk

Subversion Repositories product_code_iterative_decoder

[/] [product_code_iterative_decoder/] [trunk/] [xilinx/] [input3DB.vhdl] - Blame information for rev 14

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 9 arif_endro
-- $Id: input3DB.vhdl,v 1.1 2006-01-16 03:40:22 arif_endro Exp $
2
-------------------------------------------------------------------------------
3
-- Title       :
4
-- Project     : 
5
-------------------------------------------------------------------------------
6
-- File        :
7
-- Author      : "Arif E. Nugroho" <arif_endro@yahoo.com>
8
-- Created     : 2005/12/18
9
-- Last update : 
10
-- Simulators  :
11
-- Synthesizers: ISE Xilinx 6.3i
12
-- Target      : 
13
-------------------------------------------------------------------------------
14
-- Description : 
15
-------------------------------------------------------------------------------
16 14 arif_endro
-- Copyright (C) 2005 Arif Endro Nugroho
17 9 arif_endro
-------------------------------------------------------------------------------
18
-- 
19
--      THIS SOURCE FILE MAY BE USED AND DISTRIBUTED WITHOUT RESTRICTION
20
-- PROVIDED THAT THIS COPYRIGHT STATEMENT IS NOT REMOVED FROM THE FILE AND THAT
21
-- ANY DERIVATIVE WORK CONTAINS THE ORIGINAL COPYRIGHT NOTICE AND THE
22
-- ASSOCIATED DISCLAIMER.
23
-- 
24
-------------------------------------------------------------------------------
25
-- 
26
--      THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY EXPRESS OR
27
-- IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF
28
-- MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED.  IN NO
29
-- EVENT SHALL THE AUTHOR BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL,
30
-- SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO,
31
-- PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS;
32
-- OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY,
33
-- WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR
34
-- OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
35
-- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
36
-- 
37
-------------------------------------------------------------------------------
38
 
39
library ieee;
40
use ieee.std_logic_1164.all;
41
use ieee.std_logic_arith.all;
42
use ieee.std_logic_unsigned.all;
43
 
44
entity input is
45
   port (
46
      clock   : in  bit;
47
      clear   : in  bit;
48
      start   : out bit;
49
      rom_pos : out integer;
50
      rxin    : out bit_vector (07 downto 00)
51
      );
52
end input;
53
 
54
architecture test_bench of input is
55
 
56
type rom_bank is array ( 00000 to 19999 ) of bit_vector (7 downto 0);
57
 
58
constant input_bank : rom_bank :=
59
(
60
 
61
 B"01000001", B"00101000", B"11100100", B"00110100", B"00100111",
62
 B"11101010", B"11111011", B"00011101", B"00110001", B"00100010",
63
 B"00010011", B"11010001", B"00111001", B"11100111", B"11110100",
64
 B"11010010", B"11011101", B"11011100", B"00001110", B"11001110",
65
 B"00000000", B"11011101", B"10010001", B"00001110", B"11010001",
66
 B"00011110", B"11011001", B"11101101", B"11110001", B"01000001",
67
 B"00100111", B"11010010", B"11110011", B"00010100", B"00010110",
68
 B"00001100", B"11101001", B"00100011", B"11101101", B"00110010",
69
 B"00000010", B"00101100", B"11010001", B"11101000", B"11010010",
70
 B"00001011", B"00010110", B"00011011", B"10111100", B"11110110",
71
 B"00011101", B"11000100", B"00100100", B"11000010", B"11101000",
72
 B"00000010", B"11001111", B"00000100", B"00110100", B"00000010",
73
 B"00101011", B"00001100", B"11101011", B"11100000", B"00100111",
74
 B"00010111", B"11100101", B"00001100", B"00100111", B"11100001",
75
 B"11010100", B"00101001", B"00100010", B"00010000", B"11110000",
76
 B"11110011", B"01000110", B"11111011", B"11111001", B"11110001",
77
 B"11011111", B"00010101", B"00000111", B"11111001", B"00101000",
78
 B"00011000", B"11111011", B"00000100", B"10111101", B"01000101",
79
 B"11101011", B"00011000", B"11100111", B"11110011", B"00011001",
80
 B"00000101", B"11110010", B"00000010", B"00110110", B"11110101",
81
 B"11000111", B"11011011", B"11010100", B"10111011", B"11101010",
82
 B"11001100", B"11000011", B"11011101", B"00011011", B"00010011",
83
 B"00000111", B"00001010", B"00011110", B"11100100", B"11000001",
84
 B"00010010", B"11101010", B"11001110", B"11101110", B"00001011",
85
 B"11110110", B"00110111", B"11100111", B"00010000", B"00100111",
86
 B"11101011", B"11001100", B"00011010", B"11100110", B"11100001",
87
 B"00110000", B"00010101", B"00111010", B"00000001", B"11011100",
88
 B"11010011", B"11110001", B"00101001", B"00010010", B"00001110",
89
 B"00000100", B"00010101", B"00000010", B"11111110", B"00010001",
90
 B"00000111", B"00100101", B"11110101", B"00001100", B"11010110",
91
 B"00110010", B"11000101", B"11011111", B"00010111", B"11000010",
92
 B"01011101", B"11011010", B"11010101", B"00100001", B"00100101",
93
 B"00010011", B"11011101", B"11101010", B"00010110", B"11010010",
94
 B"11000010", B"11111111", B"11111100", B"11010010", B"00000101",
95
 B"11011010", B"00001111", B"11110110", B"00000001", B"00000001",
96
 B"11011101", B"01010000", B"11010000", B"00111100", B"11001110",
97
 B"11110101", B"11000100", B"00011101", B"00001011", B"00101100",
98
 B"00010000", B"00110101", B"11010101", B"00010100", B"11100100",
99
 B"00111010", B"11111001", B"11110110", B"11101001", B"01000011",
100
 B"01000001", B"00101000", B"00001111", B"11100011", B"11100011",
101
 B"11000001", B"00100101", B"00101011", B"11010101", B"11010111",
102
 B"11011010", B"11110111", B"11101100", B"11010000", B"00000001",
103
 B"11111110", B"00000101", B"00010010", B"01011100", B"11010110",
104
 B"00000110", B"11010101", B"00011101", B"00010000", B"00100010",
105
 B"11010010", B"00010010", B"10111001", B"00000101", B"00111001",
106
 B"11010010", B"11010010", B"00010110", B"11100110", B"11011010",
107
 B"11100100", B"11010110", B"11110011", B"11110010", B"00010100",
108
 B"11011100", B"00111001", B"11011100", B"11011010", B"00010100",
109
 B"00001101", B"00100100", B"00101000", B"01000001", B"00011100",
110
 B"00011001", B"00100010", B"00001010", B"00001111", B"11010110",
111
 B"00011011", B"00111101", B"11010000", B"00111010", B"00111000",
112
 B"11011100", B"00101010", B"11110101", B"00000000", B"00101000",
113
 B"00010111", B"00100010", B"00100011", B"11110101", B"00010100",
114
 B"10111100", B"11010010", B"00001011", B"11101100", B"11010010",
115
 B"11110100", B"11010110", B"11000111", B"11101000", B"00001111",
116
 B"00000011", B"00001101", B"00000000", B"11100011", B"00000110",
117
 B"00000100", B"00010110", B"00001010", B"11100110", B"11010000",
118
 B"00110000", B"01001001", B"11110010", B"00010110", B"00010100",
119
 B"00100000", B"00001010", B"00001011", B"00011011", B"00000100",
120
 B"00000011", B"10111010", B"00011001", B"00110110", B"11011101",
121
 B"11001001", B"11110111", B"11100111", B"11010100", B"11001010",
122
 B"00001101", B"00110001", B"11100110", B"11100001", B"11001111",
123
 B"11100000", B"00000011", B"11011001", B"00110001", B"00010110",
124
 B"00111010", B"11101000", B"00001011", B"11001110", B"00011001",
125
 B"00101100", B"11101101", B"11001011", B"00101010", B"11011001",
126
 B"00001001", B"11100110", B"11111000", B"00000000", B"11011100",
127
 B"00100101", B"00010001", B"00101010", B"00000001", B"11011001",
128
 B"11010100", B"00011110", B"11010001", B"11101101", B"00100110",
129
 B"11111111", B"11110110", B"11000011", B"11001110", B"11110111",
130
 B"11001100", B"00011111", B"00000101", B"00011100", B"11100111",
131
 B"11110111", B"00101000", B"00000001", B"11101101", B"11111110",
132
 B"11010011", B"00000000", B"11111001", B"11001011", B"00010011",
133
 B"11010001", B"00000100", B"11101010", B"11000110", B"11010000",
134
 B"00101011", B"00010110", B"11110110", B"00001001", B"11011011",
135
 B"00100011", B"11011101", B"11011001", B"11101111", B"00110111",
136
 B"00011100", B"11100001", B"11011101", B"00100101", B"11001001",
137
 B"00100100", B"11011000", B"11110011", B"00110000", B"11100100",
138
 B"11011110", B"11010000", B"11011000", B"00010001", B"00101111",
139
 B"00101110", B"00011100", B"00010100", B"11111011", B"11101100",
140
 B"01001100", B"11111001", B"11100011", B"11100000", B"00000001",
141
 B"11100111", B"00001111", B"00011001", B"00101000", B"11001100",
142
 B"00111001", B"11101110", B"00011100", B"00111000", B"00111000",
143
 B"11010000", B"00101101", B"00101010", B"11110110", B"11000000",
144
 B"11100010", B"00010001", B"11010100", B"00001000", B"11111110",
145
 B"00101111", B"00010000", B"00101001", B"00110111", B"00111110",
146
 B"11100011", B"11000000", B"00110010", B"11110110", B"11101010",
147
 B"10111000", B"11000101", B"00011010", B"00010001", B"00100000",
148
 B"00001010", B"00110000", B"00100000", B"01000100", B"00101000",
149
 B"11110101", B"11110100", B"11011001", B"00011011", B"00101010",
150
 B"00000001", B"00100011", B"11001010", B"00001101", B"00110111",
151
 B"00111100", B"00000001", B"00010101", B"11110101", B"00100000",
152
 B"00000100", B"00110101", B"11001011", B"01000011", B"11000011",
153
 B"11001100", B"11011010", B"00010101", B"00101110", B"00111111",
154
 B"11001111", B"11001101", B"11010110", B"11001000", B"00100101",
155
 B"00000001", B"00111011", B"11010111", B"10101110", B"11011111",
156
 B"11011101", B"00011101", B"00011111", B"00011000", B"00110001",
157
 B"00100011", B"00010000", B"00010001", B"00010100", B"11110001",
158
 B"00101010", B"00011110", B"11001101", B"10111101", B"00001010",
159
 B"11010110", B"00101101", B"11010000", B"10110110", B"00011101",
160
 B"00111010", B"11110001", B"01000101", B"11110000", B"11001111",
161
 B"10101110", B"00000001", B"00001100", B"11011010", B"00110101",
162
 B"11110010", B"11001001", B"11101111", B"11010111", B"01001011",
163
 B"11100010", B"00110110", B"00010100", B"00110001", B"11010101",
164
 B"11100000", B"00100101", B"00110100", B"10111100", B"11101001",
165
 B"11100110", B"11111101", B"00100011", B"11011111", B"00110010",
166
 B"11011101", B"10111110", B"00100010", B"11010001", B"00110101",
167
 B"01000101", B"00111000", B"11010001", B"00100001", B"11001101",
168
 B"00001111", B"11110001", B"00010001", B"00100110", B"00101010",
169
 B"11101000", B"00100110", B"11111011", B"00011110", B"01000011",
170
 B"00000101", B"00001110", B"10110001", B"00010111", B"11111011",
171
 B"00001000", B"11001100", B"11101100", B"01001110", B"01001100",
172
 B"11101110", B"11101001", B"00111100", B"11001001", B"00011000",
173
 B"11101000", B"10111111", B"11000011", B"00101011", B"01010000",
174
 B"10111110", B"00101101", B"11001101", B"00011011", B"11010100",
175
 B"11100001", B"01000010", B"10111110", B"11100111", B"10111010",
176
 B"11001110", B"11001100", B"11001100", B"11001100", B"11100001",
177
 B"01010010", B"11111111", B"00110010", B"00001101", B"11111011",
178
 B"11001111", B"00101101", B"11011001", B"00010100", B"00000011",
179
 B"11001100", B"00011100", B"00111110", B"00001000", B"00100111",
180
 B"00011011", B"00100101", B"11110010", B"00010111", B"00100001",
181
 B"01000001", B"00111100", B"00011001", B"00000000", B"00100101",
182
 B"00110011", B"00101111", B"00100111", B"11101000", B"11010010",
183
 B"00010010", B"11001010", B"00110001", B"00100010", B"00110010",
184
 B"00110111", B"11101000", B"00010011", B"11100000", B"11011000",
185
 B"11001100", B"11101100", B"00101111", B"00101011", B"11010101",
186
 B"00001110", B"00011000", B"10111101", B"11001111", B"11011100",
187
 B"11101111", B"10110100", B"01010111", B"00101000", B"00011100",
188
 B"11101000", B"00101001", B"00101010", B"11011110", B"00100100",
189
 B"11111100", B"11011011", B"11101010", B"00100111", B"00100010",
190
 B"00010111", B"11111001", B"11101101", B"00110101", B"00011100",
191
 B"11110001", B"00000110", B"00100110", B"11010100", B"11101000",
192
 B"01000001", B"00000110", B"00000011", B"10111100", B"00000000",
193
 B"00010010", B"10111110", B"11011001", B"00110010", B"00100111",
194
 B"00010011", B"11010000", B"11110110", B"00100000", B"00101100",
195
 B"11010111", B"11011110", B"00010100", B"00001100", B"00100100",
196
 B"00010001", B"10111110", B"00100010", B"11111011", B"00010110",
197
 B"11011011", B"11100000", B"00101111", B"11100010", B"00100001",
198
 B"10111100", B"11101110", B"00111011", B"11100010", B"10111010",
199
 B"00010010", B"00000111", B"00010010", B"11101001", B"11101011",
200
 B"00110101", B"00100101", B"00101111", B"00001110", B"00100011",
201
 B"00101111", B"00111100", B"00011010", B"00111111", B"00011001",
202
 B"00000111", B"00011000", B"00000011", B"00100010", B"00001111",
203
 B"00110001", B"00011001", B"11001110", B"00101101", B"00010001",
204
 B"11101010", B"11101101", B"11101010", B"11111101", B"11110101",
205
 B"11000000", B"00101000", B"11001111", B"00000001", B"11101100",
206
 B"00100010", B"00100001", B"00101111", B"11111101", B"00100101",
207
 B"11100110", B"00110010", B"11111010", B"11011011", B"00100011",
208
 B"00101011", B"00101010", B"00100101", B"00111001", B"00100100",
209
 B"00010100", B"00110111", B"00010110", B"00101000", B"00101011",
210
 B"11110011", B"11001000", B"11100010", B"11010110", B"00101001",
211
 B"11001001", B"00101111", B"00010110", B"00111000", B"00010101",
212
 B"11000110", B"00100101", B"11011110", B"00010100", B"11011110",
213
 B"11010100", B"11011110", B"11011000", B"11101111", B"00110111",
214
 B"00110100", B"00110010", B"00001001", B"11100110", B"11111111",
215
 B"10111101", B"00111000", B"10111001", B"11001101", B"01010110",
216
 B"00110011", B"00111110", B"10100001", B"11100110", B"11111101",
217
 B"11110110", B"11100001", B"11100111", B"11011011", B"00011100",
218
 B"11101011", B"11110100", B"01000100", B"00001100", B"11101101",
219
 B"00101000", B"00001100", B"00100011", B"00000000", B"00010101",
220
 B"00101100", B"00010100", B"00010111", B"11110000", B"00000111",
221
 B"11110011", B"00010010", B"11011100", B"11110110", B"10111111",
222
 B"00100101", B"00010101", B"11000001", B"11110000", B"11110110",
223
 B"00011011", B"01001010", B"00110111", B"11111111", B"11001101",
224
 B"11000100", B"00010111", B"00101111", B"00001110", B"00011111",
225
 B"01000011", B"00011001", B"00011010", B"00110000", B"00001010",
226
 B"00010000", B"11001100", B"11001110", B"00111100", B"00000110",
227
 B"11100010", B"11110000", B"11111001", B"11100110", B"10100100",
228
 B"11100101", B"00011000", B"00001110", B"00011000", B"00100110",
229
 B"11111000", B"01001000", B"00001011", B"11100110", B"11111111",
230
 B"11100010", B"00011101", B"11011111", B"00110001", B"00101100",
231
 B"00001000", B"00101011", B"00000000", B"00010111", B"00001001",
232
 B"00010100", B"00011110", B"00011001", B"00110010", B"00010010",
233
 B"00000001", B"00000100", B"00101110", B"00100100", B"11100100",
234
 B"00001000", B"00001011", B"10110000", B"11111001", B"00110101",
235
 B"00101100", B"00001011", B"00100001", B"11111001", B"00100001",
236
 B"00100101", B"11110111", B"00010111", B"00101000", B"11011101",
237
 B"11011001", B"11110011", B"00100000", B"10111110", B"00010011",
238
 B"11110100", B"11010110", B"11100110", B"00111010", B"00001010",
239
 B"11001111", B"10100000", B"00100011", B"11110000", B"11101111",
240
 B"11001011", B"11101110", B"11111110", B"00101100", B"11001100",
241
 B"00111111", B"11010001", B"11111001", B"11000110", B"11010010",
242
 B"00110011", B"01001111", B"00110011", B"11101111", B"00101010",
243
 B"11000000", B"00100010", B"00011100", B"11001110", B"00111001",
244
 B"11100101", B"11101100", B"11110101", B"00010001", B"00101001",
245
 B"00011001", B"00100000", B"00100100", B"00110101", B"00100001",
246
 B"00011101", B"01000001", B"00001001", B"01000110", B"11111110",
247
 B"00010111", B"00101010", B"11010000", B"00011101", B"11111010",
248
 B"10111101", B"11011100", B"11000101", B"11001111", B"11011111",
249
 B"00011011", B"00011010", B"00101110", B"00101111", B"00101010",
250
 B"11110011", B"00010001", B"00100111", B"11100110", B"00011111",
251
 B"00110100", B"11101001", B"00100110", B"00110101", B"11110010",
252
 B"00111101", B"00100111", B"11101001", B"11010101", B"00011000",
253
 B"00110001", B"11111001", B"00110000", B"11001010", B"11110110",
254
 B"11011010", B"00100100", B"00000011", B"00100010", B"00010100",
255
 B"01010000", B"00100011", B"00100000", B"00010110", B"11100001",
256
 B"00100100", B"00101110", B"00011000", B"00101101", B"00000001",
257
 B"00011111", B"11101011", B"00010110", B"11111110", B"01011000",
258
 B"11100010", B"00100010", B"00111010", B"11010101", B"00000011",
259
 B"00010010", B"11100100", B"11010110", B"00010010", B"11111010",
260
 B"00101100", B"11101001", B"00100001", B"11111010", B"00011101",
261
 B"01011101", B"11111011", B"11110010", B"11111110", B"00011111",
262
 B"00100011", B"00010100", B"00100000", B"00000011", B"11100000",
263
 B"00110101", B"11011110", B"00001100", B"11100101", B"11001010",
264
 B"00010011", B"11101011", B"11101000", B"00111011", B"00101010",
265
 B"00101111", B"00100111", B"11001100", B"11100111", B"10101001",
266
 B"11011011", B"00010001", B"00110101", B"00101101", B"11111001",
267
 B"00001110", B"11101110", B"11110100", B"11111100", B"00110111",
268
 B"00011011", B"11110010", B"00100111", B"11100010", B"00000000",
269
 B"11011100", B"00100000", B"00110000", B"11100011", B"11011100",
270
 B"11100010", B"00000110", B"11010011", B"00011010", B"00001110",
271
 B"11100001", B"00100001", B"00000001", B"11001001", B"00001100",
272
 B"00101001", B"00000101", B"11111000", B"11110001", B"11110110",
273
 B"11100111", B"11010110", B"00100010", B"00100011", B"00110000",
274
 B"00011000", B"00010110", B"11011000", B"00000101", B"11101101",
275
 B"00011011", B"11101101", B"00100101", B"11111011", B"00000101",
276
 B"11011001", B"11101010", B"11001110", B"00010000", B"00011011",
277
 B"11000010", B"00000011", B"00010100", B"11000100", B"11110100",
278
 B"11010011", B"11110011", B"10110000", B"00100000", B"00110101",
279
 B"11010101", B"11100010", B"00100101", B"11111011", B"11101100",
280
 B"11001010", B"11010101", B"00011011", B"11011000", B"00111011",
281
 B"11100010", B"11111101", B"00010100", B"00001000", B"00011100",
282
 B"11001100", B"11101100", B"11000111", B"11001000", B"00110000",
283
 B"11011111", B"00000000", B"11111001", B"11101000", B"00010111",
284
 B"11110101", B"00111111", B"10100010", B"10101111", B"00100011",
285
 B"00110011", B"00010010", B"10100001", B"00001100", B"00101011",
286
 B"11000010", B"11110111", B"00010011", B"11000011", B"11110111",
287
 B"11011111", B"11010001", B"11110000", B"01010011", B"00010001",
288
 B"00100101", B"11001101", B"00011111", B"11110010", B"00001001",
289
 B"11000010", B"11100100", B"00010101", B"00001011", B"00001100",
290
 B"00011001", B"11110111", B"11101011", B"01010101", B"00001110",
291
 B"10111001", B"11100010", B"00100000", B"00011001", B"11100010",
292
 B"11100001", B"00111100", B"00010010", B"11011110", B"11000100",
293
 B"00100001", B"00100010", B"11001111", B"11111100", B"00100011",
294
 B"11111001", B"11101010", B"00000011", B"00100110", B"11000100",
295
 B"11101010", B"00000111", B"11000100", B"00101011", B"00000000",
296
 B"00001011", B"00011010", B"11111001", B"11110101", B"00110111",
297
 B"00000010", B"11100011", B"11100100", B"00110001", B"00011000",
298
 B"00011010", B"11111010", B"00001100", B"00010011", B"00100010",
299
 B"00110111", B"00001111", B"00101101", B"00110000", B"00000010",
300
 B"11011111", B"00100000", B"11111010", B"00100011", B"11000000",
301
 B"11110100", B"11110110", B"11101001", B"00000110", B"11100100",
302
 B"11010110", B"11011111", B"11011000", B"11100110", B"11010110",
303
 B"00110100", B"00011011", B"00101110", B"11111101", B"11110001",
304
 B"00011011", B"11111011", B"00001001", B"00001110", B"11001110",
305
 B"00100110", B"11101100", B"00101000", B"11011010", B"00011100",
306
 B"00010110", B"11101110", B"00100110", B"11100000", B"11110110",
307
 B"11001011", B"10111001", B"11100100", B"11111000", B"11111110",
308
 B"00011100", B"00101011", B"11100101", B"00000100", B"10111100",
309
 B"00011000", B"11011101", B"11100111", B"11101110", B"11001100",
310
 B"00000011", B"11110010", B"10111001", B"11100010", B"11000010",
311
 B"00110000", B"11100101", B"00101110", B"11110000", B"11010101",
312
 B"00001000", B"00101110", B"00011011", B"11111100", B"11011001",
313
 B"00011100", B"11110010", B"11110100", B"11001000", B"11101101",
314
 B"11010110", B"00101000", B"00001101", B"00011000", B"11010100",
315
 B"11000001", B"00101110", B"00101101", B"00100010", B"00110100",
316
 B"11011000", B"00000000", B"11001111", B"00011100", B"11110110",
317
 B"00111001", B"01001111", B"00011111", B"11110000", B"01011101",
318
 B"00111111", B"10011101", B"11110001", B"11011111", B"00101011",
319
 B"00110111", B"00001010", B"11000011", B"01001011", B"11010011",
320
 B"11111010", B"11011010", B"00010110", B"00000111", B"11011111",
321
 B"11011111", B"11001100", B"10110110", B"11000000", B"11100110",
322
 B"00111000", B"11000100", B"00001011", B"11110001", B"11011110",
323
 B"00110100", B"00001001", B"00100011", B"11011010", B"11100011",
324
 B"01010001", B"11101111", B"11010101", B"11010011", B"11000101",
325
 B"11110100", B"11010010", B"00000011", B"11101111", B"01001100",
326
 B"11101011", B"11000110", B"11110101", B"00111000", B"11010111",
327
 B"11010010", B"11111100", B"11001110", B"11011001", B"11111110",
328
 B"11110111", B"11011010", B"00001001", B"10111011", B"00111001",
329
 B"11011010", B"11000010", B"11100111", B"00011001", B"00111011",
330
 B"00100111", B"00001101", B"11011111", B"01010010", B"00101001",
331
 B"11010101", B"11011110", B"00010000", B"00011111", B"00001001",
332
 B"00011101", B"00001111", B"11110001", B"11011101", B"00010110",
333
 B"00001001", B"11110000", B"00000010", B"00100100", B"00101110",
334
 B"11011011", B"00010010", B"11101101", B"11010011", B"11111110",
335
 B"00110111", B"11000001", B"00001001", B"11111001", B"11111100",
336
 B"00101100", B"11110011", B"00011100", B"11110000", B"11010111",
337
 B"11011110", B"00010010", B"00100001", B"11011101", B"01001010",
338
 B"00111010", B"11100010", B"00100010", B"01010011", B"11100011",
339
 B"11101011", B"00110111", B"11101000", B"11110001", B"11100011",
340
 B"00111001", B"00100010", B"11100010", B"00110111", B"11100010",
341
 B"11101011", B"11011111", B"00111011", B"00100111", B"11001101",
342
 B"00001110", B"11011010", B"00100001", B"00011010", B"00100101",
343
 B"11011110", B"00001100", B"01011110", B"11100101", B"11100010",
344
 B"00101110", B"11011101", B"11100010", B"00000010", B"11110001",
345
 B"01000011", B"00110101", B"00010101", B"11110010", B"00111110",
346
 B"00010010", B"11011010", B"00101100", B"00011101", B"11011000",
347
 B"11010100", B"00011111", B"00100010", B"11001010", B"10111100",
348
 B"00111010", B"10110111", B"11111101", B"11011001", B"11100101",
349
 B"11001110", B"11011110", B"00010010", B"00110010", B"11101101",
350
 B"11100100", B"00110111", B"11101000", B"11101001", B"11100001",
351
 B"00001011", B"00010010", B"01010110", B"00010001", B"11101000",
352
 B"10100011", B"00110011", B"00010011", B"00101000", B"11111111",
353
 B"00000001", B"00000111", B"11101111", B"00000011", B"00110011",
354
 B"00000010", B"11101001", B"00110101", B"00010010", B"00101011",
355
 B"00010010", B"11110010", B"11101100", B"00010011", B"00011111",
356
 B"00111111", B"00010010", B"00011010", B"00101001", B"00110101",
357
 B"00111100", B"11110010", B"11001110", B"00000100", B"10100101",
358
 B"11000011", B"11110011", B"11101110", B"11111111", B"11110110",
359
 B"11000100", B"11111010", B"00010000", B"11110000", B"00010110",
360
 B"11101101", B"00100010", B"11011001", B"00110101", B"11010010",
361
 B"11100100", B"11100011", B"00000101", B"01000011", B"11111000",
362
 B"00010100", B"11011011", B"00001100", B"11100110", B"11111110",
363
 B"00010101", B"00101101", B"11111101", B"00010110", B"00010101",
364
 B"00110001", B"11010011", B"00100111", B"10110101", B"00011001",
365
 B"00010010", B"11011010", B"00111011", B"00001100", B"11101101",
366
 B"00111111", B"00001101", B"11110001", B"01001011", B"00001110",
367
 B"00001001", B"11111111", B"00001101", B"11101010", B"00111001",
368
 B"10111010", B"00100110", B"00011001", B"00101011", B"11011000",
369
 B"11100010", B"11100000", B"00101000", B"00010111", B"00000100",
370
 B"11001101", B"11001111", B"00010000", B"11101001", B"00001010",
371
 B"11110001", B"11011111", B"11011010", B"11010010", B"00110011",
372
 B"00000000", B"00001000", B"11000110", B"10110011", B"00101001",
373
 B"11111100", B"00101010", B"11100110", B"11010110", B"11110101",
374
 B"00011111", B"00100110", B"11101000", B"11011010", B"00100011",
375
 B"00111000", B"00100100", B"11011100", B"01000000", B"11000101",
376
 B"00110001", B"11101011", B"11101001", B"11011001", B"11111011",
377
 B"00100000", B"00010000", B"00101001", B"00110000", B"11011111",
378
 B"11101011", B"11001011", B"00000111", B"00101010", B"00101011",
379
 B"00101101", B"01010100", B"11111010", B"11001011", B"00011101",
380
 B"11001000", B"00001010", B"11010000", B"11101010", B"00000011",
381
 B"00101011", B"00000111", B"00011000", B"11110001", B"00100111",
382
 B"11011011", B"00100001", B"11100101", B"11011100", B"00101110",
383
 B"11000110", B"11101101", B"11011001", B"00000110", B"11111101",
384
 B"11100011", B"11011100", B"01000011", B"00010101", B"00010010",
385
 B"11010100", B"00110000", B"10111000", B"01000000", B"01000101",
386
 B"00100100", B"11001101", B"11000100", B"01101010", B"00101010",
387
 B"11010000", B"11111110", B"00110010", B"11110110", B"11101001",
388
 B"01001100", B"00011110", B"00000110", B"11011010", B"00101001",
389
 B"00110111", B"11110010", B"00010100", B"00001110", B"11010100",
390
 B"00101010", B"00001111", B"11101000", B"00010101", B"00101111",
391
 B"11000001", B"00000011", B"00100110", B"10110010", B"11000101",
392
 B"00100011", B"00101101", B"00110100", B"00010011", B"01001100",
393
 B"00101000", B"11110001", B"11010000", B"00011101", B"00101100",
394
 B"01100000", B"11110111", B"01000011", B"00100001", B"11110111",
395
 B"11001001", B"00011000", B"00011111", B"11111011", B"01000100",
396
 B"11001001", B"11000101", B"11010101", B"00011010", B"00111010",
397
 B"00011010", B"11010110", B"11011011", B"10110000", B"11111111",
398
 B"00001110", B"11111010", B"00111010", B"11100000", B"11101010",
399
 B"00000001", B"11110001", B"00000100", B"11011000", B"11101011",
400
 B"00010100", B"11011111", B"11101100", B"11111111", B"00111011",
401
 B"00000100", B"00010010", B"11101101", B"11111011", B"10111011",
402
 B"10111011", B"00101111", B"11000011", B"00110000", B"11000100",
403
 B"11000101", B"00001100", B"11011110", B"00010000", B"00011111",
404
 B"11000101", B"11110101", B"11110000", B"11010001", B"11000000",
405
 B"11011011", B"00001001", B"00110010", B"11100000", B"00000110",
406
 B"11000001", B"11111100", B"11110011", B"00011000", B"11000011",
407
 B"00101010", B"11010101", B"11110010", B"11101000", B"00100001",
408
 B"00001110", B"00011000", B"00001111", B"11111010", B"11001011",
409
 B"00001010", B"00010111", B"11111011", B"11110010", B"00101101",
410
 B"11110110", B"11111011", B"00001000", B"11100111", B"00101100",
411
 B"00100011", B"11011011", B"00011011", B"00011101", B"00001110",
412
 B"00010001", B"00011111", B"00110010", B"00010101", B"00100100",
413
 B"10111100", B"11010000", B"00011101", B"00010100", B"00100001",
414
 B"00010001", B"11010101", B"11011010", B"11011111", B"11111010",
415
 B"00101101", B"00100010", B"00110011", B"00100110", B"11010010",
416
 B"11110000", B"11000001", B"11101110", B"00010011", B"00010110",
417
 B"11011110", B"00100111", B"11011110", B"00011111", B"11011100",
418
 B"00101100", B"00100001", B"11101110", B"11100101", B"11100010",
419
 B"11110101", B"11011110", B"00011101", B"00000010", B"00001000",
420
 B"11111111", B"00101101", B"00001110", B"11111111", B"00111001",
421
 B"11101001", B"11011111", B"00000000", B"00000001", B"00110101",
422
 B"11011000", B"11110110", B"11101101", B"00000000", B"11100111",
423
 B"11010000", B"11111110", B"11110010", B"11010011", B"11100010",
424
 B"11100110", B"11011101", B"11011100", B"00010010", B"11000001",
425
 B"00010110", B"11111110", B"11011101", B"01000111", B"00110001",
426
 B"00100010", B"11101101", B"00011001", B"00011111", B"11011011",
427
 B"11010101", B"00101010", B"00011010", B"01001010", B"01001111",
428
 B"00011011", B"00011100", B"00001101", B"01001011", B"00101000",
429
 B"11111001", B"11110011", B"11101011", B"10100110", B"00110010",
430
 B"00111001", B"00111001", B"00110011", B"11000000", B"11111011",
431
 B"11100110", B"00111101", B"11011000", B"10101111", B"00011001",
432
 B"00100011", B"11001110", B"00111001", B"11101110", B"00101100",
433
 B"11011101", B"11110001", B"11110000", B"01000100", B"01000110",
434
 B"11101100", B"11101010", B"00101000", B"11000001", B"01001110",
435
 B"00101110", B"11100001", B"11100011", B"11000010", B"00100000",
436
 B"11011000", B"00010000", B"11100011", B"11100100", B"00110100",
437
 B"00001000", B"00011110", B"11110011", B"00110010", B"00100011",
438
 B"11111010", B"11000110", B"00101001", B"11110110", B"11110011",
439
 B"01000000", B"11010011", B"11111001", B"11011110", B"11101001",
440
 B"11010100", B"10111001", B"00111111", B"11111111", B"11011001",
441
 B"11111111", B"11101111", B"11000110", B"11110110", B"11100110",
442
 B"00000101", B"11011010", B"11100110", B"11011111", B"00110000",
443
 B"00101011", B"11100001", B"11010110", B"00010110", B"00111010",
444
 B"00001100", B"11110011", B"00001011", B"10111010", B"11101110",
445
 B"00000100", B"00001000", B"00110000", B"00010011", B"00011001",
446
 B"11100111", B"00111001", B"00011000", B"11100011", B"00101010",
447
 B"00011101", B"00000001", B"11010100", B"11001000", B"11111101",
448
 B"11010001", B"10111100", B"11100110", B"11111000", B"00110001",
449
 B"00000001", B"00001010", B"00100110", B"11110001", B"00001101",
450
 B"00111100", B"00111000", B"00101110", B"00100010", B"11111111",
451
 B"00100010", B"00000111", B"00101010", B"00000101", B"11001110",
452
 B"00100011", B"11000111", B"11010101", B"11011110", B"11110100",
453
 B"00000011", B"00100001", B"11111111", B"00011101", B"11100101",
454
 B"00100100", B"00100011", B"01000001", B"00101110", B"11010111",
455
 B"00001000", B"10110111", B"11111110", B"11000101", B"00101100",
456
 B"11111100", B"11100101", B"00100000", B"11010101", B"00001110",
457
 B"01010110", B"11000010", B"11010110", B"00000111", B"11011001",
458
 B"11100011", B"00101101", B"00100100", B"00100010", B"00101011",
459
 B"11011000", B"11110010", B"01010110", B"11011010", B"00101000",
460
 B"00010010", B"10111110", B"00100011", B"00110100", B"11001001",
461
 B"11101000", B"00101111", B"00000100", B"00111110", B"11110010",
462
 B"00000010", B"11010010", B"00010111", B"00011111", B"11010101",
463
 B"10111111", B"00010010", B"11100110", B"11011110", B"00000110",
464
 B"11100111", B"00010101", B"11011011", B"00010110", B"00011110",
465
 B"11110001", B"01000010", B"00011010", B"11011001", B"11001110",
466
 B"11100001", B"11111101", B"11111001", B"00100111", B"00011000",
467
 B"00010101", B"00100110", B"11100111", B"00100011", B"10111111",
468
 B"11010111", B"00111000", B"00101010", B"11001100", B"11100011",
469
 B"00101010", B"11110101", B"00001100", B"11110001", B"11100010",
470
 B"11000001", B"11110100", B"00011100", B"00011000", B"00100010",
471
 B"00111100", B"00010010", B"00010101", B"00100000", B"00111111",
472
 B"00101101", B"11010010", B"11011010", B"00011011", B"01010010",
473
 B"00110001", B"00011101", B"11010001", B"11011010", B"00111010",
474
 B"11000001", B"11101111", B"00100100", B"00001010", B"11011101",
475
 B"11001111", B"00000010", B"11011111", B"11110100", B"11010001",
476
 B"00010010", B"00011010", B"11010011", B"00001110", B"11101110",
477
 B"11101100", B"11100100", B"11010001", B"11100010", B"00001001",
478
 B"00100110", B"00101011", B"00010100", B"10111001", B"01001000",
479
 B"00011100", B"00011000", B"00001110", B"00100100", B"11110011",
480
 B"00010111", B"11100100", B"00001110", B"00100011", B"00011010",
481
 B"11011001", B"00001011", B"11000001", B"00001101", B"00010010",
482
 B"11100000", B"11010100", B"00001001", B"11010110", B"11011011",
483
 B"11011110", B"11011010", B"11010000", B"00100111", B"00011001",
484
 B"00011001", B"11110101", B"00011100", B"11100111", B"00101100",
485
 B"11100101", B"00010000", B"11111000", B"00110011", B"11010100",
486
 B"00000011", B"11100100", B"01001010", B"11100000", B"11011000",
487
 B"00111000", B"00101101", B"00011001", B"00100010", B"11010011",
488
 B"00001001", B"11100010", B"00100001", B"11111110", B"00011010",
489
 B"11101000", B"00010111", B"10110100", B"00010001", B"10111001",
490
 B"11111100", B"00101010", B"00000111", B"00100010", B"11111010",
491
 B"00010001", B"11100100", B"00111101", B"00011011", B"11110110",
492
 B"11100010", B"00011100", B"00110010", B"11010000", B"11011110",
493
 B"00010100", B"11000101", B"11101010", B"00011111", B"11110001",
494
 B"11101010", B"11011001", B"11110100", B"11001111", B"11111111",
495
 B"00011011", B"11111011", B"11010000", B"11101001", B"11110001",
496
 B"11010000", B"00110100", B"11110001", B"00011011", B"11100011",
497
 B"11010001", B"11111001", B"00011001", B"00100011", B"01011000",
498
 B"00101010", B"11110101", B"11100101", B"00111001", B"00110011",
499
 B"11010111", B"11101100", B"01001011", B"11011000", B"11101111",
500
 B"00000101", B"10111100", B"01010001", B"11110001", B"01000100",
501
 B"00011010", B"11101111", B"00001101", B"11111110", B"11011100",
502
 B"00100110", B"00010001", B"10111110", B"00001001", B"00011100",
503
 B"11001010", B"00011000", B"00000011", B"11010011", B"11101000",
504
 B"00101000", B"11100011", B"00011001", B"00010100", B"11100110",
505
 B"11110110", B"00010110", B"00010110", B"11101010", B"11010010",
506
 B"00001100", B"01000100", B"00000100", B"11010000", B"11000100",
507
 B"11101101", B"00000011", B"00101011", B"11011000", B"10110101",
508
 B"11010110", B"11110001", B"01010011", B"11001101", B"00001000",
509
 B"11001101", B"11011111", B"11011110", B"00100111", B"00100101",
510
 B"11110000", B"00110010", B"11001101", B"01001000", B"01000110",
511
 B"00111001", B"11110010", B"00100110", B"11100010", B"00001111",
512
 B"11001010", B"11110001", B"00000100", B"00010100", B"11001111",
513
 B"11011000", B"11100011", B"00001011", B"10111101", B"00010001",
514
 B"11110000", B"11101000", B"00010100", B"11101101", B"11111010",
515
 B"11111000", B"11110000", B"00010000", B"00111000", B"00101011",
516
 B"00000111", B"11111111", B"11111010", B"11100110", B"10101110",
517
 B"11100110", B"11000100", B"11001110", B"11010011", B"00000101",
518
 B"00010101", B"11111101", B"00101001", B"11001000", B"11111010",
519
 B"11011011", B"11001011", B"00101000", B"00101110", B"00011111",
520
 B"00110011", B"00000000", B"00011000", B"00000000", B"00111111",
521
 B"00000000", B"11011111", B"11010001", B"00011011", B"11011001",
522
 B"00101001", B"00000100", B"00001000", B"11111110", B"00001100",
523
 B"00100111", B"00011111", B"00010000", B"00011000", B"11010100",
524
 B"11101110", B"11111111", B"00101111", B"11100011", B"11101110",
525
 B"00111101", B"11010011", B"00101100", B"11100000", B"11001110",
526
 B"11011101", B"00111001", B"00001010", B"11010101", B"01000001",
527
 B"11011100", B"00101100", B"11110010", B"11111001", B"00111010",
528
 B"00110101", B"00101000", B"00001111", B"00110101", B"00100000",
529
 B"00011000", B"11011011", B"11110001", B"00100111", B"00011000",
530
 B"00001110", B"01001000", B"11110101", B"00011111", B"00110011",
531
 B"00100101", B"00100100", B"00110111", B"00110011", B"00101000",
532
 B"11100100", B"00001110", B"11001001", B"11101010", B"11001011",
533
 B"01001000", B"11010101", B"11110100", B"00011011", B"11100111",
534
 B"00000000", B"11000011", B"11011111", B"11010100", B"10101101",
535
 B"11000100", B"11001111", B"11110110", B"00000101", B"00010010",
536
 B"00101010", B"00010010", B"00111010", B"11101010", B"00010111",
537
 B"11110001", B"11101110", B"10110010", B"01000011", B"00100000",
538
 B"11011000", B"00111010", B"00011010", B"11001110", B"00100100",
539
 B"00011001", B"11011101", B"11010011", B"11101010", B"00110100",
540
 B"00101101", B"00010100", B"00101100", B"11001101", B"00011101",
541
 B"00010010", B"11001100", B"00101110", B"00101111", B"11010110",
542
 B"00010110", B"00100000", B"11001111", B"00010000", B"00011101",
543
 B"01000000", B"00010010", B"00100010", B"11100010", B"00001111",
544
 B"11101011", B"00101111", B"00100110", B"00101101", B"00010011",
545
 B"00011101", B"00111000", B"01000111", B"00011110", B"11010010",
546
 B"00000100", B"11101111", B"00001010", B"11100101", B"11011001",
547
 B"00010010", B"00010011", B"11101101", B"00101000", B"00100011",
548
 B"11000011", B"11011100", B"00000011", B"11001110", B"11010101",
549
 B"11100001", B"00010110", B"11011101", B"11010010", B"11010100",
550
 B"01001001", B"00001111", B"11100000", B"00000100", B"11011111",
551
 B"00010010", B"11110000", B"11011011", B"10101101", B"00000011",
552
 B"00100110", B"11111011", B"11110011", B"00010110", B"11111001",
553
 B"00100100", B"11110010", B"11011101", B"00100010", B"11010101",
554
 B"10111100", B"00010111", B"00100011", B"00100001", B"00100000",
555
 B"11010101", B"11001001", B"00010101", B"00001011", B"11110010",
556
 B"00110011", B"11110110", B"11101101", B"00001010", B"00011100",
557
 B"00100101", B"00101111", B"11001001", B"00010111", B"11101110",
558
 B"11101001", B"11001110", B"00011111", B"11110001", B"11110011",
559
 B"00010110", B"11011010", B"00101111", B"11100111", B"11010010",
560
 B"00010111", B"00000000", B"11000110", B"11010001", B"11101001",
561
 B"00100100", B"11110011", B"00110100", B"00001101", B"11100100",
562
 B"00011111", B"00010110", B"00010010", B"11101011", B"00000011",
563
 B"11111001", B"00010001", B"00001101", B"11011111", B"00011100",
564
 B"11100100", B"11010100", B"01011100", B"11001001", B"00001101",
565
 B"00011110", B"11101010", B"01000000", B"00000011", B"11100111",
566
 B"10111000", B"00110001", B"00110001", B"00011111", B"00010011",
567
 B"11110001", B"11110111", B"11111101", B"11101000", B"11101111",
568
 B"00111100", B"11011001", B"11011011", B"00000011", B"11001110",
569
 B"01000101", B"11100001", B"11011001", B"00001111", B"11111100",
570
 B"11010101", B"11111011", B"11101101", B"11110001", B"11111110",
571
 B"11111110", B"00101000", B"11110001", B"11010100", B"11001101",
572
 B"11111110", B"00101100", B"11111000", B"00101001", B"11110000",
573
 B"11100101", B"00101101", B"11101110", B"11100100", B"11100111",
574
 B"00100011", B"01011011", B"11000100", B"00101011", B"11101010",
575
 B"10110000", B"00001001", B"00001111", B"11100110", B"00000100",
576
 B"11000001", B"11111000", B"11101011", B"00010001", B"11011000",
577
 B"11100111", B"11001100", B"00101001", B"00101100", B"11010111",
578
 B"00100000", B"00000011", B"11111101", B"11100001", B"11010000",
579
 B"00011101", B"00011001", B"11001101", B"00001011", B"00101000",
580
 B"11100101", B"11011011", B"11100101", B"11110100", B"11010111",
581
 B"00110100", B"01001001", B"00010100", B"11110100", B"00101000",
582
 B"11010000", B"00011110", B"11100000", B"00011111", B"00001110",
583
 B"00011110", B"11000100", B"00100101", B"11011100", B"00010000",
584
 B"11100101", B"11101000", B"00010000", B"00001011", B"00000101",
585
 B"11011010", B"00011111", B"11000000", B"00011110", B"00011100",
586
 B"11001001", B"01000111", B"00010011", B"11100011", B"00010011",
587
 B"01000110", B"11100111", B"11010011", B"00010111", B"11001001",
588
 B"01000000", B"11100001", B"11110010", B"00010010", B"01001101",
589
 B"11011101", B"11100010", B"11100011", B"11011001", B"00000010",
590
 B"00000101", B"00111010", B"00111010", B"11100000", B"11101011",
591
 B"01010110", B"00100011", B"00110000", B"00010010", B"10111110",
592
 B"11011011", B"11100101", B"00110101", B"00101110", B"00011101",
593
 B"11100100", B"00101001", B"11011111", B"00001010", B"00111011",
594
 B"11100110", B"00010011", B"11010011", B"11011000", B"11111011",
595
 B"00101011", B"00011011", B"11011100", B"00000010", B"11100111",
596
 B"00100000", B"00000000", B"11111101", B"00101111", B"00010100",
597
 B"00100000", B"00110110", B"10111011", B"11100011", B"00010100",
598
 B"00011001", B"11100001", B"11110011", B"00110100", B"00010011",
599
 B"11010100", B"00010011", B"00100111", B"11100010", B"11101111",
600
 B"00101001", B"11111111", B"00110000", B"11110001", B"00100000",
601
 B"00001000", B"11001011", B"00111001", B"00010001", B"10111110",
602
 B"00011111", B"11100001", B"00101010", B"11101111", B"11100110",
603
 B"00111100", B"00100011", B"00011100", B"11100100", B"11101100",
604
 B"00110010", B"11100100", B"11011000", B"11001001", B"11100100",
605
 B"00000111", B"11111011", B"00101100", B"11101010", B"11010110",
606
 B"11110110", B"00011101", B"00101111", B"00011110", B"00001010",
607
 B"00011000", B"00011111", B"00011001", B"01001110", B"00011110",
608
 B"00011001", B"00000100", B"00010001", B"10110101", B"11100100",
609
 B"00010011", B"00100111", B"00000000", B"11100001", B"00000011",
610
 B"00001110", B"00000011", B"11100111", B"00011011", B"00010000",
611
 B"11101111", B"10101101", B"00100011", B"10110111", B"11101110",
612
 B"00011001", B"11010111", B"11100000", B"11010101", B"11101010",
613
 B"11110101", B"00101101", B"11101111", B"11010101", B"00001100",
614
 B"01000100", B"11001001", B"10110001", B"11000110", B"11101111",
615
 B"00100111", B"00010101", B"00010111", B"00100001", B"11000100",
616
 B"11001010", B"11111010", B"11010011", B"11110110", B"11110010",
617
 B"11101000", B"00101001", B"00011101", B"00110111", B"01010010",
618
 B"00111101", B"00011001", B"11100001", B"01100110", B"11010001",
619
 B"00101110", B"10101100", B"11110000", B"11110110", B"00001011",
620
 B"11010001", B"00011110", B"11000011", B"11001101", B"00001010",
621
 B"11010111", B"00001100", B"11001101", B"11101000", B"11010100",
622
 B"00101100", B"00010011", B"11010010", B"11100000", B"11110001",
623
 B"11000011", B"11100101", B"00001110", B"00000000", B"11111010",
624
 B"11111101", B"11000101", B"10111111", B"00011011", B"00000001",
625
 B"00011001", B"00110010", B"11011111", B"11110101", B"00010001",
626
 B"00011011", B"00001001", B"00101011", B"11011011", B"00101001",
627
 B"11001000", B"00011101", B"11100011", B"00111011", B"11011010",
628
 B"11111000", B"00011001", B"11011000", B"11110000", B"00011100",
629
 B"11000111", B"10111110", B"00000111", B"00011101", B"00011111",
630
 B"00000010", B"11101001", B"00010010", B"00011010", B"00011011",
631
 B"11010001", B"00100011", B"00011111", B"11100000", B"11110111",
632
 B"00100001", B"11101101", B"11100100", B"00100011", B"00001010",
633
 B"00100110", B"00010011", B"11100001", B"00000101", B"00101100",
634
 B"00011010", B"00100010", B"11011110", B"00101010", B"11001010",
635
 B"00110111", B"00000000", B"11100101", B"11000011", B"11111111",
636
 B"11101100", B"00100111", B"11001001", B"00010111", B"00000000",
637
 B"00100111", B"11110101", B"11101110", B"11110000", B"11100011",
638
 B"00010010", B"11001101", B"00100010", B"00010111", B"00101001",
639
 B"00011001", B"11001101", B"00000001", B"11001010", B"00001010",
640
 B"11010101", B"11100111", B"11011010", B"11110100", B"00110010",
641
 B"00111010", B"00001001", B"00100100", B"11101101", B"11101111",
642
 B"00101010", B"11101110", B"00000111", B"11011010", B"11100000",
643
 B"00111011", B"00110101", B"00000011", B"00010101", B"11001000",
644
 B"00010111", B"00101000", B"11000111", B"11101100", B"00101001",
645
 B"11111101", B"11011011", B"11110100", B"10111100", B"11110110",
646
 B"00110001", B"00100111", B"00101001", B"01001100", B"11010011",
647
 B"00010110", B"11011101", B"11011111", B"11010100", B"00110001",
648
 B"00101010", B"00000000", B"00011110", B"00000101", B"11110110",
649
 B"11111000", B"00100001", B"00011100", B"11010111", B"00000011",
650
 B"11101001", B"11010000", B"00011010", B"00100001", B"11101110",
651
 B"00011001", B"11011111", B"00101001", B"00010001", B"00101101",
652
 B"11111101", B"01001111", B"11101100", B"00101011", B"00001011",
653
 B"00010011", B"00010110", B"00000011", B"11110001", B"00011010",
654
 B"00000010", B"00001001", B"11010010", B"11100100", B"00001110",
655
 B"01000000", B"00011011", B"00001001", B"00111100", B"11011111",
656
 B"01001100", B"11101101", B"00100001", B"00001010", B"00100001",
657
 B"11101001", B"00101000", B"00011100", B"00010110", B"11001100",
658
 B"00011011", B"00111001", B"00010000", B"00000100", B"00110111",
659
 B"00000000", B"01000101", B"11101100", B"00001011", B"11100111",
660
 B"11101001", B"11011111", B"00100101", B"11110100", B"10111010",
661
 B"00011000", B"11101011", B"01001101", B"11110110", B"11100110",
662
 B"11001001", B"11111011", B"00101001", B"11010000", B"00110000",
663
 B"00010101", B"00011011", B"11011111", B"00000011", B"11000010",
664
 B"00000010", B"11111010", B"00111010", B"11001100", B"11101000",
665
 B"11011010", B"00010010", B"00101110", B"11011110", B"00111000",
666
 B"00011101", B"11111101", B"11011000", B"00011010", B"00100010",
667
 B"11000000", B"11111010", B"11111100", B"00101110", B"01000001",
668
 B"11110111", B"00101110", B"10111011", B"00101100", B"11111110",
669
 B"11011011", B"11011000", B"10110101", B"00100110", B"01001000",
670
 B"11010010", B"01001100", B"11010001", B"11101010", B"00000001",
671
 B"11110111", B"11100101", B"11101001", B"00111011", B"00010001",
672
 B"00101101", B"11111111", B"11000001", B"11101010", B"11010011",
673
 B"11111001", B"00110100", B"11001101", B"00000011", B"00001001",
674
 B"00100001", B"11001010", B"00000101", B"00000000", B"11101000",
675
 B"11001001", B"00100101", B"00000111", B"11100110", B"00111101",
676
 B"11000011", B"11010001", B"11110011", B"11111001", B"00100100",
677
 B"01000100", B"11010001", B"10101000", B"00001100", B"11010111",
678
 B"11101100", B"11011110", B"11110101", B"00111100", B"00010100",
679
 B"00011001", B"00111100", B"00101100", B"00001010", B"00011001",
680
 B"11111100", B"11100100", B"11110110", B"11111011", B"00011100",
681
 B"00011001", B"11101001", B"00011011", B"11100100", B"00110101",
682
 B"00011011", B"00101001", B"01000111", B"00101110", B"00001001",
683
 B"00101010", B"00100100", B"00010000", B"11100011", B"11101001",
684
 B"11010101", B"11010011", B"11101010", B"11010100", B"11100001",
685
 B"11010100", B"00011101", B"11100101", B"11101110", B"00001001",
686
 B"00101111", B"00101111", B"11110111", B"00000100", B"11011101",
687
 B"00000100", B"11111111", B"11010110", B"11010011", B"11111000",
688
 B"00001111", B"00010111", B"00011110", B"01000000", B"11010010",
689
 B"00100011", B"00000100", B"01010110", B"00001000", B"00101110",
690
 B"00010110", B"00100110", B"11001000", B"11101001", B"11000000",
691
 B"01010001", B"11100001", B"11100110", B"11011111", B"11110010",
692
 B"10111110", B"00001100", B"00101110", B"00001011", B"01000100",
693
 B"11011000", B"11111001", B"00010001", B"00010110", B"11100010",
694
 B"01000001", B"11110101", B"11101111", B"11001101", B"11011111",
695
 B"00000000", B"00010000", B"00110000", B"11111000", B"00100100",
696
 B"11011010", B"00001111", B"00101111", B"00100101", B"00111010",
697
 B"01001001", B"00110100", B"01010010", B"00001000", B"00110011",
698
 B"11011001", B"00101101", B"10111001", B"11111101", B"11000101",
699
 B"00101010", B"00010110", B"11001001", B"00101010", B"11001101",
700
 B"11011001", B"11010001", B"01000110", B"00101101", B"11100101",
701
 B"00001011", B"00100100", B"11110001", B"11101100", B"00000000",
702
 B"00000110", B"01011010", B"11111101", B"11110101", B"00111100",
703
 B"00100000", B"00010010", B"00010000", B"00110010", B"00100010",
704
 B"00100111", B"00110100", B"11110011", B"11001000", B"00010001",
705
 B"11101000", B"11011110", B"11111110", B"11100010", B"00001010",
706
 B"11011000", B"11011110", B"11000101", B"11010011", B"01100001",
707
 B"11101001", B"00110100", B"00010110", B"11101000", B"11111001",
708
 B"11011011", B"10111011", B"00010011", B"11010010", B"00010011",
709
 B"11100111", B"10011101", B"11100110", B"00011000", B"00100011",
710
 B"10110011", B"00011010", B"11101111", B"00100100", B"00101100",
711
 B"11111110", B"11110000", B"00011100", B"00001011", B"11101100",
712
 B"11100110", B"11111100", B"11100100", B"00010001", B"00101000",
713
 B"01001110", B"00010110", B"00010010", B"00010011", B"10111111",
714
 B"00010100", B"00010101", B"00100111", B"01000011", B"11110010",
715
 B"11000111", B"11010011", B"00011101", B"11011011", B"11000100",
716
 B"00111011", B"11111011", B"11101000", B"11100000", B"11010000",
717
 B"00100101", B"00011010", B"00011000", B"00110101", B"00001110",
718
 B"00001000", B"00101010", B"00010101", B"11011001", B"00010111",
719
 B"00010101", B"00101110", B"10011111", B"00101000", B"11011000",
720
 B"01000110", B"00100000", B"00001100", B"11100101", B"00101010",
721
 B"01000000", B"11110001", B"11010001", B"00100111", B"00010111",
722
 B"11101111", B"00101010", B"00001001", B"11011000", B"00111001",
723
 B"00001000", B"11110011", B"11010001", B"11101101", B"00010101",
724
 B"11111001", B"00110000", B"11011100", B"11001110", B"11101111",
725
 B"00000010", B"00010000", B"11111001", B"01000100", B"00110001",
726
 B"00001000", B"00111010", B"00110011", B"00110001", B"00010010",
727
 B"00110001", B"00011101", B"00011101", B"00010111", B"00011010",
728
 B"00110010", B"00011100", B"00001001", B"11111000", B"11010100",
729
 B"11111000", B"11000100", B"00001110", B"11110100", B"00101010",
730
 B"11010010", B"00100111", B"11111010", B"11100011", B"00101011",
731
 B"00011101", B"11110010", B"11101010", B"11110001", B"00010011",
732
 B"11011110", B"00111010", B"11010101", B"00010110", B"00111101",
733
 B"00011111", B"00100100", B"00001000", B"11001001", B"00010110",
734
 B"00100110", B"11101101", B"11010001", B"11000111", B"00111010",
735
 B"00100010", B"11010101", B"11101101", B"11101110", B"11011110",
736
 B"10111100", B"00011111", B"11100000", B"11100101", B"00000001",
737
 B"11101000", B"11101010", B"11111010", B"11001010", B"11011100",
738
 B"00111101", B"00101011", B"00000110", B"10111010", B"11011100",
739
 B"11100100", B"11101111", B"01010000", B"00101111", B"11011100",
740
 B"00000101", B"00010100", B"00101000", B"00110001", B"00101111",
741
 B"00100111", B"00100110", B"00010011", B"00011100", B"00110001",
742
 B"00111000", B"00010101", B"00111010", B"00010110", B"00101001",
743
 B"00010100", B"11110100", B"00101110", B"11111111", B"01000001",
744
 B"11011111", B"11011111", B"11110111", B"11101001", B"11010101",
745
 B"11110110", B"01000000", B"00011110", B"11100110", B"11011111",
746
 B"00011000", B"00000111", B"00001101", B"10110000", B"00001101",
747
 B"11010001", B"00010011", B"11001101", B"00010000", B"00001001",
748
 B"11111010", B"11011001", B"11011100", B"11001010", B"11110011",
749
 B"00010100", B"11101000", B"00001101", B"00001111", B"00100101",
750
 B"00010111", B"00010010", B"00101000", B"11100000", B"00100000",
751
 B"00001001", B"00110010", B"00000111", B"00100101", B"10110101",
752
 B"00110101", B"11110001", B"11110100", B"00011010", B"11110101",
753
 B"00011000", B"11010011", B"11111010", B"00011110", B"00010111",
754
 B"00001011", B"11100110", B"11011010", B"11011100", B"00011100",
755
 B"11011100", B"00010000", B"00100101", B"11101110", B"11111111",
756
 B"11101101", B"11100001", B"00010011", B"00000101", B"11101111",
757
 B"01000001", B"11011101", B"00100001", B"00001000", B"10111011",
758
 B"00100111", B"01011101", B"00000011", B"11101101", B"00011000",
759
 B"11011101", B"11110101", B"11101110", B"00111011", B"00100100",
760
 B"11000110", B"00101100", B"00110110", B"00000100", B"00100111",
761
 B"00011010", B"11111000", B"11101011", B"00011010", B"00101001",
762
 B"00101111", B"00001110", B"01000100", B"11110111", B"01010000",
763
 B"00001011", B"00011111", B"01010010", B"10111111", B"00101001",
764
 B"00110000", B"11010000", B"00010010", B"00000010", B"10101011",
765
 B"00000001", B"00010101", B"11001111", B"00101110", B"11100110",
766
 B"11111101", B"00111111", B"00011000", B"10110011", B"10111110",
767
 B"00001010", B"11100101", B"00000110", B"10111110", B"11100110",
768
 B"00111001", B"11100011", B"00010100", B"11111111", B"11111100",
769
 B"11111001", B"00010101", B"00100101", B"11110010", B"11111011",
770
 B"10101000", B"00010110", B"00010011", B"00010111", B"00100111",
771
 B"10111000", B"11010001", B"00001001", B"11001111", B"11010000",
772
 B"11111000", B"11001111", B"00011100", B"10111101", B"00101000",
773
 B"11011001", B"11000001", B"11111001", B"11011100", B"00110100",
774
 B"00011101", B"00001001", B"00000110", B"00100100", B"10111001",
775
 B"11100011", B"00001010", B"11111100", B"11011011", B"11100111",
776
 B"11010101", B"01001111", B"00010011", B"11001110", B"11000101",
777
 B"00100001", B"00011110", B"11111010", B"11101011", B"00101111",
778
 B"11111010", B"00011110", B"11001110", B"00110001", B"11001010",
779
 B"00000111", B"11011100", B"00010000", B"11010100", B"00010000",
780
 B"00100101", B"11001001", B"00011100", B"00010001", B"11000101",
781
 B"11111100", B"00011001", B"00100101", B"11011011", B"00111010",
782
 B"11110111", B"00010101", B"10111111", B"11100001", B"00100000",
783
 B"11111100", B"00111010", B"10110100", B"11110101", B"00001100",
784
 B"11111100", B"11001101", B"00101110", B"11011001", B"11110001",
785
 B"11010101", B"00111000", B"00100101", B"11100101", B"00011000",
786
 B"00011011", B"11000010", B"00000000", B"10111100", B"00000000",
787
 B"11101001", B"11100001", B"11011100", B"11011101", B"11110010",
788
 B"10111100", B"00101110", B"11111100", B"00101011", B"00110011",
789
 B"00011010", B"01000101", B"11101100", B"00010000", B"11101110",
790
 B"11100100", B"00100100", B"00011011", B"11010110", B"11000000",
791
 B"11010000", B"00111101", B"00100110", B"11100011", B"00101111",
792
 B"11111011", B"00001000", B"00111011", B"11001001", B"00110001",
793
 B"00011011", B"11101011", B"11010000", B"00101110", B"11110110",
794
 B"00001101", B"00101011", B"00110001", B"11100111", B"00110101",
795
 B"10111110", B"00001010", B"00011011", B"11010111", B"00010110",
796
 B"00110011", B"10111101", B"00100101", B"00010100", B"11111000",
797
 B"00011110", B"01001111", B"11010101", B"00111000", B"00111100",
798
 B"11101111", B"11010100", B"11110111", B"10101101", B"01001011",
799
 B"11101110", B"00111011", B"11100011", B"11000000", B"00101101",
800
 B"00111000", B"10111110", B"00100010", B"11111111", B"00010010",
801
 B"11001000", B"11000100", B"00011001", B"00001001", B"11011000",
802
 B"00010011", B"11111111", B"00001110", B"11010000", B"11010000",
803
 B"00111000", B"00010100", B"00011101", B"00001111", B"00101000",
804
 B"11011101", B"11111000", B"11100111", B"00010101", B"11010100",
805
 B"00010100", B"01000110", B"11010110", B"01001001", B"00000101",
806
 B"11101001", B"01001010", B"00101110", B"00111010", B"00101101",
807
 B"00011001", B"00101011", B"00010110", B"00011011", B"00001000",
808
 B"00110100", B"11001101", B"00110000", B"11110001", B"01001001",
809
 B"00001000", B"00011001", B"00110101", B"00000111", B"00010010",
810
 B"10101101", B"00001100", B"11011110", B"11100001", B"10110111",
811
 B"00111001", B"00101111", B"11010011", B"01010101", B"11100110",
812
 B"11001010", B"11010001", B"00101000", B"00000110", B"11011000",
813
 B"00110010", B"01011111", B"01000110", B"00101011", B"00001001",
814
 B"00111100", B"00100000", B"00011011", B"00101000", B"11101001",
815
 B"00101110", B"00100110", B"11001100", B"00000001", B"00110110",
816
 B"11101010", B"11001110", B"00000110", B"00000101", B"00001010",
817
 B"00000000", B"00101110", B"11100000", B"00100110", B"11110001",
818
 B"11100010", B"11011001", B"11101011", B"00110001", B"00110100",
819
 B"00010010", B"00011111", B"00111011", B"11011111", B"00100000",
820
 B"00110101", B"11111000", B"00100011", B"00010110", B"11010010",
821
 B"11111101", B"00011101", B"11110111", B"00011000", B"11101000",
822
 B"10111111", B"00111000", B"11011010", B"11011100", B"11001111",
823
 B"10101111", B"10111000", B"00100001", B"01001010", B"00001100",
824
 B"00101110", B"11011111", B"00010010", B"00001011", B"00101110",
825
 B"11111010", B"00010100", B"11100110", B"00110000", B"11010101",
826
 B"11000100", B"11001100", B"00110111", B"00110110", B"11100010",
827
 B"00111101", B"11100111", B"00010101", B"00011100", B"10111001",
828
 B"11111111", B"11100100", B"00110100", B"00010111", B"00001001",
829
 B"11011011", B"10101010", B"11001011", B"11111011", B"00011010",
830
 B"11011100", B"00111000", B"11011001", B"10110011", B"00111001",
831
 B"11000111", B"00001001", B"10111111", B"00101100", B"00111010",
832
 B"11100101", B"00101100", B"01000110", B"11110110", B"00001100",
833
 B"01010000", B"00010000", B"00011000", B"00001001", B"11001111",
834
 B"11011010", B"00111100", B"00011101", B"00101001", B"00010100",
835
 B"11111001", B"11000111", B"00001000", B"00110100", B"11010000",
836
 B"10111110", B"00111100", B"00010011", B"11011000", B"11011000",
837
 B"00011111", B"00010110", B"00101010", B"00100101", B"00111000",
838
 B"00100000", B"00000000", B"00010000", B"11001001", B"00011011",
839
 B"00000011", B"11011100", B"11010010", B"00100011", B"01010010",
840
 B"11110011", B"11001111", B"11011011", B"00010100", B"00101010",
841
 B"00111101", B"11110101", B"11010001", B"11010011", B"00100000",
842
 B"00010001", B"11011110", B"00101100", B"00110101", B"11011110",
843
 B"11010001", B"00010011", B"00001000", B"00100000", B"00110101",
844
 B"00111000", B"11101110", B"00010111", B"11110001", B"00010110",
845
 B"11010011", B"00011011", B"11011100", B"11100101", B"11011010",
846
 B"00001110", B"00011101", B"11110000", B"00101110", B"11101010",
847
 B"11110010", B"00011100", B"10111010", B"11110001", B"11000011",
848
 B"11000110", B"10111001", B"00010111", B"00010100", B"00110101",
849
 B"11101010", B"00100101", B"10111111", B"00111110", B"11111100",
850
 B"11010010", B"10110100", B"11100100", B"00110000", B"00001111",
851
 B"00010010", B"00101000", B"11101010", B"00000001", B"11101100",
852
 B"00111000", B"11001101", B"00100110", B"11111011", B"00100011",
853
 B"00100111", B"11100101", B"11100000", B"00011100", B"11011010",
854
 B"11101000", B"11111010", B"11100000", B"11100110", B"11010101",
855
 B"00010101", B"00010001", B"01010001", B"00100010", B"11011100",
856
 B"11111111", B"11110100", B"00001111", B"00000001", B"11000110",
857
 B"11100101", B"11010101", B"00000101", B"11010010", B"11011010",
858
 B"10101100", B"01000110", B"11001111", B"00111001", B"11000100",
859
 B"11011011", B"01000011", B"11011101", B"00011100", B"00100100",
860
 B"11011110", B"11100011", B"11101011", B"11010011", B"11011100",
861
 B"00000110", B"00100110", B"11011110", B"00110111", B"00011111",
862
 B"11010101", B"11000001", B"01000101", B"00011110", B"11101100",
863
 B"00011111", B"11110001", B"11011000", B"00111010", B"01010010",
864
 B"11101011", B"00000000", B"11110001", B"00010100", B"11010110",
865
 B"11011111", B"11101001", B"00001101", B"00101100", B"01010011",
866
 B"11101101", B"00101000", B"11001011", B"11011100", B"11110111",
867
 B"00010001", B"00010100", B"11001111", B"00111101", B"11110010",
868
 B"00000110", B"11101011", B"11100111", B"01000011", B"11111100",
869
 B"00100010", B"00010010", B"00110010", B"00111000", B"00001100",
870
 B"00010010", B"11111001", B"00100000", B"11110101", B"11111110",
871
 B"01000100", B"00010001", B"00011110", B"00001101", B"00000010",
872
 B"00011110", B"11110111", B"00001101", B"00000010", B"00110111",
873
 B"11101111", B"11101011", B"11101111", B"00100100", B"11110011",
874
 B"11100100", B"00010010", B"01000000", B"00101111", B"00000101",
875
 B"11010001", B"11101001", B"00111001", B"11101100", B"11111010",
876
 B"00101111", B"11110011", B"11100010", B"11011100", B"11011110",
877
 B"00101011", B"11110100", B"11010001", B"00110010", B"11110110",
878
 B"11110110", B"11001010", B"11010011", B"11010001", B"11110010",
879
 B"00011000", B"00011001", B"00010110", B"00011100", B"11011110",
880
 B"11000010", B"00101010", B"01000111", B"11100010", B"11010100",
881
 B"11101101", B"00111011", B"11010010", B"11100000", B"00110000",
882
 B"11111110", B"11001101", B"00000001", B"00010101", B"11101011",
883
 B"10110110", B"00100001", B"00010110", B"00110000", B"00100001",
884
 B"11101111", B"00101000", B"00001111", B"01000010", B"00011101",
885
 B"00011000", B"11000001", B"01101000", B"00101100", B"11011000",
886
 B"00100010", B"00010111", B"11101110", B"00000110", B"00010000",
887
 B"11000111", B"11110011", B"00111000", B"11110000", B"11111100",
888
 B"01000001", B"11100110", B"00000010", B"11101001", B"00100101",
889
 B"11011010", B"11000100", B"00101110", B"00110001", B"11111110",
890
 B"00100010", B"11100010", B"00111001", B"11110001", B"10110001",
891
 B"00000011", B"00101101", B"00000011", B"11010011", B"01000101",
892
 B"11010001", B"11100111", B"11101101", B"00010101", B"00110001",
893
 B"00101001", B"11010100", B"11100110", B"00110010", B"11100011",
894
 B"11110011", B"11101111", B"11010100", B"11110010", B"00000100",
895
 B"00100011", B"00111100", B"11100101", B"00101011", B"11110101",
896
 B"00001001", B"01001010", B"00010101", B"00101000", B"11101001",
897
 B"00100100", B"11010111", B"00001111", B"00001101", B"00100110",
898
 B"10111010", B"00001110", B"00010100", B"11110111", B"01010000",
899
 B"11100100", B"11011100", B"11010001", B"11001010", B"11101110",
900
 B"11011000", B"00011100", B"00011001", B"00100000", B"00001110",
901
 B"00101110", B"00011010", B"10101110", B"11000011", B"00010110",
902
 B"00111100", B"00000001", B"11110110", B"11111111", B"11110001",
903
 B"11110011", B"11011111", B"11101001", B"00010111", B"11011001",
904
 B"00001001", B"11011000", B"00100110", B"00100011", B"11101111",
905
 B"11010010", B"10111000", B"11111001", B"11111001", B"00100110",
906
 B"11111000", B"11000100", B"11011100", B"11001110", B"01001010",
907
 B"11110000", B"00000101", B"00001101", B"11000101", B"11101100",
908
 B"00100000", B"10100100", B"11011101", B"11000101", B"11001001",
909
 B"11100000", B"11011110", B"00100000", B"00011101", B"00110000",
910
 B"00010000", B"11011010", B"11101001", B"11101101", B"00001101",
911
 B"11011000", B"00100001", B"00000000", B"00001011", B"00101101",
912
 B"00010110", B"11100110", B"00001000", B"00010101", B"11001100",
913
 B"11010010", B"11111011", B"11101000", B"10100111", B"00010001",
914
 B"00110111", B"11100100", B"11100001", B"00100010", B"00001101",
915
 B"11010011", B"11000111", B"00001000", B"00010100", B"00001001",
916
 B"00100101", B"00100110", B"01000101", B"00111010", B"00000001",
917
 B"00011001", B"01000001", B"11100111", B"00010110", B"00000110",
918
 B"11101101", B"11101111", B"01001001", B"00010101", B"11110011",
919
 B"11011110", B"00011100", B"11011100", B"11101010", B"11101111",
920
 B"11111011", B"11111110", B"00000100", B"00100111", B"00111000",
921
 B"00101101", B"00100011", B"11111110", B"00010000", B"11010000",
922
 B"11101010", B"11111101", B"00110010", B"00100001", B"00010011",
923
 B"11101010", B"11010100", B"11011001", B"11101101", B"00110101",
924
 B"11000111", B"00101000", B"11010110", B"11010101", B"00100100",
925
 B"00110001", B"10110010", B"00101110", B"00111011", B"11011111",
926
 B"00110100", B"00011100", B"11100100", B"00110001", B"00010000",
927
 B"11100011", B"11101111", B"00110000", B"11010110", B"11100000",
928
 B"00110010", B"11011011", B"11110111", B"11000101", B"00100000",
929
 B"00100111", B"11100011", B"00101110", B"10110011", B"00000001",
930
 B"00111000", B"11101010", B"11100000", B"00000000", B"00100000",
931
 B"11110110", B"00000110", B"00100101", B"00111011", B"00100011",
932
 B"00000001", B"00110011", B"00001000", B"00110111", B"11111101",
933
 B"10101111", B"00001111", B"11011010", B"11100110", B"11010101",
934
 B"00101001", B"01000001", B"11100100", B"00110110", B"00111110",
935
 B"00110110", B"00001010", B"11110111", B"00011000", B"00100011",
936
 B"11111010", B"11010001", B"00001011", B"11111101", B"00110101",
937
 B"00000101", B"11111001", B"00011111", B"00111010", B"00100001",
938
 B"00010110", B"11011011", B"11100010", B"00001110", B"00010100",
939
 B"11101101", B"11011100", B"00100001", B"00111101", B"00000110",
940
 B"11000011", B"00001111", B"00001100", B"00010001", B"10111111",
941
 B"00110100", B"11110100", B"11000111", B"11100000", B"00000010",
942
 B"00011010", B"11010111", B"01000000", B"00110010", B"11011100",
943
 B"00111101", B"00100001", B"11001100", B"00111110", B"00101111",
944
 B"11110111", B"00110110", B"00000111", B"00000110", B"11010011",
945
 B"00100100", B"00000101", B"00000110", B"11100001", B"11001001",
946
 B"11101010", B"00001111", B"00010010", B"00011101", B"00100010",
947
 B"11011100", B"11010011", B"11010010", B"00101111", B"00011111",
948
 B"00000110", B"10111011", B"11110011", B"11000011", B"00010100",
949
 B"11110100", B"11011010", B"00000011", B"11100110", B"11101101",
950
 B"11010110", B"11000101", B"00111111", B"00010101", B"11100011",
951
 B"00001011", B"00100100", B"11100110", B"00100010", B"00010110",
952
 B"11011010", B"00000001", B"11110101", B"01001011", B"11101001",
953
 B"00111000", B"11100111", B"11111001", B"00100010", B"11111101",
954
 B"00010001", B"11100111", B"00100011", B"00011111", B"11010101",
955
 B"11100010", B"00110000", B"11110101", B"00001000", B"11101000",
956
 B"11100011", B"11100100", B"00101110", B"00010011", B"11000111",
957
 B"11101111", B"00000101", B"00000001", B"00001101", B"11000000",
958
 B"11101011", B"00010010", B"11101101", B"11101011", B"00010011",
959
 B"11011111", B"11010000", B"10101101", B"00000001", B"00110100",
960
 B"11110010", B"00010100", B"00110001", B"11011011", B"11001000",
961
 B"00100111", B"00001100", B"00010000", B"11100101", B"11011011",
962
 B"11110101", B"00011011", B"00010101", B"11110011", B"00100000",
963
 B"11100101", B"00110001", B"11111011", B"01000000", B"00010100",
964
 B"00101001", B"11101100", B"01000001", B"11001110", B"11111111",
965
 B"00110101", B"01001010", B"11010110", B"00111011", B"11110111",
966
 B"00000000", B"11100110", B"00101000", B"11100011", B"00011110",
967
 B"11100001", B"00000000", B"11100111", B"11101111", B"01000000",
968
 B"00111001", B"00110100", B"00111000", B"11000100", B"00101001",
969
 B"00101010", B"11100100", B"11011111", B"00001001", B"00001101",
970
 B"11111001", B"11110010", B"01000001", B"11111110", B"11100000",
971
 B"11001001", B"11111111", B"11110000", B"11011001", B"00000001",
972
 B"01000100", B"00011001", B"00111011", B"11110010", B"11100101",
973
 B"11010101", B"11101001", B"11100001", B"00101110", B"00000111",
974
 B"11000101", B"00100101", B"11011110", B"00001110", B"00100010",
975
 B"11000011", B"00011000", B"00011010", B"10111010", B"11101000",
976
 B"00101011", B"00010110", B"11000011", B"11011000", B"00011101",
977
 B"11110000", B"11111001", B"11001101", B"11110000", B"00001001",
978
 B"00100101", B"00011010", B"10111110", B"00000001", B"11100110",
979
 B"01001101", B"11010001", B"11110101", B"11010010", B"11110101",
980
 B"11010000", B"11011111", B"00101111", B"11110001", B"00101001",
981
 B"11000100", B"11000011", B"11111101", B"11110000", B"00101010",
982
 B"11110100", B"11100000", B"00001111", B"11010011", B"11110111",
983
 B"00100010", B"11001011", B"01001100", B"11110100", B"11101011",
984
 B"00000001", B"11100110", B"11000111", B"11010000", B"11101010",
985
 B"00100100", B"00110000", B"00100001", B"00000010", B"00110011",
986
 B"00011111", B"00000000", B"00011001", B"00001100", B"11100001",
987
 B"11010111", B"00010011", B"00011111", B"00001010", B"00011110",
988
 B"11011001", B"00000100", B"11110001", B"00101010", B"10110111",
989
 B"00100011", B"00111100", B"11101110", B"11000110", B"00000010",
990
 B"00111011", B"11110110", B"10110101", B"00111110", B"11001111",
991
 B"11001101", B"00100110", B"11010101", B"10111111", B"11100111",
992
 B"00000010", B"00011100", B"00000011", B"00010000", B"00110010",
993
 B"11011100", B"00111011", B"00011110", B"11011101", B"00001100",
994
 B"11011000", B"00001101", B"11000111", B"11011001", B"10111101",
995
 B"00001011", B"00010110", B"10110000", B"00110000", B"00010010",
996
 B"11110010", B"00001000", B"11000110", B"11100000", B"11110010",
997
 B"00000011", B"00100000", B"11100100", B"11100100", B"00110100",
998
 B"00010101", B"11010000", B"11101111", B"00011001", B"00111100",
999
 B"00110001", B"00100011", B"11110010", B"00001001", B"00011000",
1000
 B"11101001", B"00000111", B"11110000", B"01010100", B"11101101",
1001
 B"11100000", B"11100101", B"00100001", B"00100000", B"11001010",
1002
 B"11100011", B"11001101", B"11100101", B"00101011", B"00110101",
1003
 B"00011100", B"00011011", B"00010111", B"00100100", B"10111100",
1004
 B"00110100", B"00000110", B"11110101", B"11100010", B"01010001",
1005
 B"11100101", B"11100001", B"11011110", B"00001001", B"00000001",
1006
 B"11010100", B"00001110", B"11101011", B"11100101", B"01000100",
1007
 B"11011100", B"00101101", B"11100101", B"11100111", B"00110100",
1008
 B"00100000", B"11100100", B"11001101", B"11100000", B"11110011",
1009
 B"00001000", B"00110000", B"00101100", B"00111011", B"00000100",
1010
 B"00001000", B"11110101", B"11001010", B"00011100", B"00001011",
1011
 B"11001101", B"11100111", B"11110100", B"11010000", B"00101000",
1012
 B"11100011", B"01000101", B"11011001", B"11101000", B"00010101",
1013
 B"11110101", B"00111111", B"00000011", B"11011010", B"00000011",
1014
 B"00110001", B"10110011", B"10100110", B"00010111", B"00000101",
1015
 B"00110101", B"00100011", B"00101010", B"00010110", B"00010010",
1016
 B"00111011", B"00100011", B"11110110", B"00100011", B"00001001",
1017
 B"11010011", B"10110101", B"00100001", B"00010000", B"00011011",
1018
 B"00100010", B"00000001", B"11100100", B"00100101", B"00011010",
1019
 B"11001011", B"11100010", B"00010011", B"11111011", B"00110100",
1020
 B"00101011", B"11010000", B"00010101", B"00100000", B"11101010",
1021
 B"00010101", B"11101001", B"00010110", B"11001000", B"11100111",
1022
 B"00010100", B"01000000", B"00100010", B"11111010", B"11011111",
1023
 B"00000101", B"11000110", B"00001010", B"00011111", B"11100100",
1024
 B"00011011", B"10110111", B"11100001", B"01001011", B"00100000",
1025
 B"00000111", B"11100111", B"11111000", B"10111100", B"00101110",
1026
 B"00011000", B"00101101", B"11101110", B"00011001", B"00010100",
1027
 B"00010110", B"00100011", B"00010110", B"00000001", B"11110001",
1028
 B"00100010", B"01000100", B"11011000", B"11010011", B"00011100",
1029
 B"11110001", B"11001010", B"00110110", B"11110010", B"00110110",
1030
 B"11000001", B"10110110", B"00011001", B"00001111", B"00010101",
1031
 B"11101101", B"00001111", B"11111000", B"11001110", B"11001001",
1032
 B"00011101", B"11100101", B"10111101", B"00010111", B"00101101",
1033
 B"00101011", B"00010110", B"11101011", B"00000000", B"11010010",
1034
 B"11001110", B"11101000", B"00110010", B"00001011", B"11010111",
1035
 B"00000001", B"11100100", B"11001111", B"11110011", B"11110111",
1036
 B"00010011", B"01001011", B"11011101", B"00011110", B"00000100",
1037
 B"00111110", B"00110100", B"11100001", B"11100001", B"00001100",
1038
 B"00010111", B"11101110", B"11011001", B"00100011", B"11000010",
1039
 B"00111001", B"11011100", B"11010100", B"11000010", B"00110100",
1040
 B"00001001", B"11101001", B"00100010", B"11111011", B"11011010",
1041
 B"11101010", B"11011000", B"11001000", B"11001010", B"00011100",
1042
 B"11100011", B"11100001", B"11101101", B"11011101", B"00010111",
1043
 B"00000111", B"00111101", B"01000000", B"00000110", B"11111010",
1044
 B"11111010", B"01000010", B"11101101", B"00111011", B"11011010",
1045
 B"00101100", B"00110011", B"11100000", B"11101111", B"00010010",
1046
 B"00000110", B"11100100", B"11001100", B"11001010", B"00000010",
1047
 B"00010011", B"00001010", B"11011000", B"00100111", B"11100000",
1048
 B"11110010", B"11101101", B"00011011", B"11011110", B"00011001",
1049
 B"11111111", B"11100000", B"11110011", B"00011011", B"00110001",
1050
 B"01000110", B"00010110", B"11000100", B"00010010", B"11010111",
1051
 B"00000101", B"11110110", B"11011110", B"00000111", B"00010101",
1052
 B"00110111", B"00000010", B"00100011", B"11110100", B"11100011",
1053
 B"11100010", B"00110000", B"11000010", B"00011100", B"11100000",
1054
 B"11100011", B"00100111", B"00100111", B"00100100", B"11011010",
1055
 B"11101010", B"00010001", B"11000100", B"10110110", B"11100011",
1056
 B"11110100", B"00010101", B"11100110", B"00101000", B"00001000",
1057
 B"11100111", B"00000101", B"00110100", B"00001010", B"00110010",
1058
 B"00011000", B"11010101", B"00000011", B"00011110", B"00001000",
1059
 B"11011000", B"00110100", B"00110010", B"11010000", B"00010001",
1060
 B"11110011", B"11011101", B"00000011", B"00100100", B"00100110",
1061
 B"00111110", B"00101111", B"11010010", B"00101010", B"00110011",
1062
 B"11001100", B"11000111", B"00110011", B"11110001", B"00111011",
1063
 B"11010001", B"10011110", B"11011100", B"00001111", B"01010010",
1064
 B"11101101", B"00001100", B"11010001", B"11011010", B"11101111",
1065
 B"00100000", B"11110100", B"00010010", B"00111100", B"11010101",
1066
 B"11011110", B"11100000", B"00011010", B"00000111", B"10111110",
1067
 B"00100000", B"00001010", B"00011010", B"11011110", B"00101001",
1068
 B"00001000", B"11111000", B"00001100", B"00011110", B"11110010",
1069
 B"00010100", B"11100101", B"11100100", B"00100011", B"11000100",
1070
 B"11100111", B"11101010", B"11001101", B"11101101", B"00000000",
1071
 B"00010100", B"10111110", B"11011010", B"10111110", B"11001101",
1072
 B"11010000", B"00110010", B"11111101", B"11000110", B"00101010",
1073
 B"00000111", B"11001000", B"11100010", B"11101100", B"01001001",
1074
 B"00000010", B"11101001", B"00010000", B"11011001", B"00111011",
1075
 B"11001101", B"00000010", B"00110001", B"11011011", B"11111011",
1076
 B"11010000", B"11011001", B"00101010", B"11100101", B"00101100",
1077
 B"11001100", B"00011011", B"00110101", B"00100011", B"11001000",
1078
 B"00001100", B"11000111", B"01010111", B"00100101", B"00100000",
1079
 B"00000101", B"11010000", B"00010011", B"11100110", B"11111100",
1080
 B"11010101", B"11111101", B"11001111", B"11110011", B"11100110",
1081
 B"11101000", B"00110001", B"11001010", B"00100101", B"11101011",
1082
 B"11101101", B"00111001", B"11010111", B"00111001", B"11101101",
1083
 B"11010010", B"00100110", B"00000010", B"11000001", B"11101100",
1084
 B"00010101", B"11100011", B"11011110", B"01001010", B"11011001",
1085
 B"00000000", B"00100100", B"11101001", B"00000011", B"11000011",
1086
 B"00010100", B"00101101", B"11110110", B"11010000", B"11100010",
1087
 B"11011000", B"11100101", B"00100111", B"00110001", B"11111110",
1088
 B"00110101", B"11110111", B"00011001", B"10111110", B"00011010",
1089
 B"00001111", B"11110100", B"00001000", B"00101110", B"00001101",
1090
 B"11100011", B"00101111", B"11111011", B"00000000", B"00110000",
1091
 B"00101110", B"00110110", B"11100010", B"11001011", B"00010111",
1092
 B"00010010", B"00101001", B"11101101", B"11111011", B"11010000",
1093
 B"00101010", B"11101101", B"11011111", B"11111011", B"10101010",
1094
 B"00001010", B"11100100", B"00010100", B"00010111", B"00101010",
1095
 B"11111000", B"00010010", B"00100101", B"00011101", B"00010010",
1096
 B"01011111", B"11011101", B"00101001", B"11100001", B"11101000",
1097
 B"11110000", B"00101001", B"00101111", B"00001010", B"00100100",
1098
 B"00101111", B"00110000", B"11100010", B"00100010", B"11010100",
1099
 B"00110011", B"11010000", B"11110110", B"00100001", B"00010000",
1100
 B"00110010", B"00010101", B"11101001", B"11100001", B"00010001",
1101
 B"00110100", B"00101100", B"00011110", B"00011100", B"00000011",
1102
 B"00100101", B"01001011", B"00000010", B"11011100", B"00101011",
1103
 B"11010110", B"11010111", B"11010010", B"00101000", B"00010100",
1104
 B"11000111", B"00010010", B"00010010", B"00011111", B"01001110",
1105
 B"00110111", B"00110000", B"11111001", B"00010111", B"00010010",
1106
 B"11111111", B"00011101", B"11110101", B"11100011", B"11110111",
1107
 B"00101100", B"00101001", B"00111110", B"00101000", B"00001101",
1108
 B"11011010", B"00100101", B"11100000", B"00110000", B"11000110",
1109
 B"11101111", B"11101101", B"11010001", B"11011110", B"01001011",
1110
 B"00011110", B"00001000", B"00100111", B"00100101", B"00100010",
1111
 B"00101100", B"00110001", B"00111010", B"00011100", B"11111001",
1112
 B"00110110", B"11100001", B"11010110", B"00001011", B"10111110",
1113
 B"00001011", B"11110000", B"11000100", B"00001001", B"11100000",
1114
 B"11011010", B"00100111", B"11011010", B"00100111", B"11001111",
1115
 B"10110011", B"00111011", B"00101101", B"00110001", B"11100011",
1116
 B"01001011", B"01000010", B"11110010", B"10011001", B"00101000",
1117
 B"11111010", B"00001110", B"11011000", B"00100001", B"11000000",
1118
 B"01000001", B"00010001", B"11101100", B"11101000", B"11011101",
1119
 B"11110111", B"00001111", B"00001101", B"11101000", B"00100111",
1120
 B"11101111", B"11110001", B"10111111", B"11011111", B"00100110",
1121
 B"00011000", B"11010110", B"00010011", B"11101010", B"00110001",
1122
 B"00000000", B"00101011", B"11101011", B"00111100", B"11011110",
1123
 B"00001101", B"11111111", B"00011101", B"00101110", B"10110111",
1124
 B"11111101", B"00101100", B"11000100", B"11011011", B"00101011",
1125
 B"11101011", B"00110111", B"11011011", B"11100100", B"10110011",
1126
 B"00101110", B"00100001", B"11110111", B"00111100", B"00111111",
1127
 B"11011001", B"11111111", B"00100110", B"00010111", B"11001000",
1128
 B"11000010", B"10110111", B"11100001", B"11111111", B"00001101",
1129
 B"00011100", B"11011110", B"11101101", B"01000101", B"00100111",
1130
 B"11000101", B"00111101", B"11011111", B"11000010", B"00001100",
1131
 B"00110110", B"00101100", B"10110001", B"00011010", B"11100001",
1132
 B"11101011", B"10101101", B"00011011", B"01010010", B"11100011",
1133
 B"11001001", B"00000100", B"00100000", B"00010010", B"00101010",
1134
 B"00101111", B"11100000", B"11011000", B"11110011", B"11111101",
1135
 B"00001110", B"00010011", B"00011011", B"00011011", B"11000000",
1136
 B"11011101", B"11101111", B"01000101", B"00000000", B"11111110",
1137
 B"11101001", B"11011110", B"11011110", B"11000100", B"00101101",
1138
 B"11110100", B"00101101", B"00010000", B"11101011", B"11101010",
1139
 B"00110110", B"00001001", B"00011111", B"00010100", B"01000000",
1140
 B"00101010", B"00110101", B"11111100", B"00100100", B"00001010",
1141
 B"00101111", B"11110011", B"11100110", B"11101101", B"11001100",
1142
 B"00010100", B"11010000", B"00000001", B"11011010", B"11100110",
1143
 B"11001110", B"00000100", B"11111100", B"11101011", B"00101111",
1144
 B"00010001", B"00000111", B"11111000", B"00111001", B"00101010",
1145
 B"11010000", B"00001001", B"11001111", B"00110111", B"00110101",
1146
 B"01001011", B"11100000", B"00001011", B"00011001", B"11001100",
1147
 B"00000100", B"00001100", B"00010000", B"11101101", B"11111001",
1148
 B"00010101", B"11101100", B"00011011", B"00001011", B"10111000",
1149
 B"11101111", B"11011001", B"00110011", B"00011100", B"00010011",
1150
 B"00010100", B"11010101", B"10111110", B"11001101", B"00010111",
1151
 B"00011010", B"11100000", B"11100111", B"11110011", B"00000111",
1152
 B"10110010", B"00010001", B"11110001", B"11000010", B"11001000",
1153
 B"11101001", B"00101000", B"11100101", B"00010101", B"11101011",
1154
 B"00101111", B"00010000", B"11001110", B"11101100", B"11111011",
1155
 B"11101101", B"10110010", B"00100011", B"11101101", B"00011011",
1156
 B"11000110", B"11011100", B"11101011", B"00101001", B"00000010",
1157
 B"01000001", B"11111110", B"00010110", B"00101100", B"00101001",
1158
 B"00111110", B"00110010", B"00010101", B"11111100", B"11001111",
1159
 B"11010100", B"00010000", B"00100000", B"11010111", B"00010101",
1160
 B"11000000", B"11001010", B"01010000", B"01001101", B"00010101",
1161
 B"11010010", B"00100010", B"11100000", B"00001011", B"11000000",
1162
 B"00001100", B"11011001", B"11001101", B"11101110", B"00010100",
1163
 B"00010001", B"00000101", B"00101000", B"11001001", B"11110110",
1164
 B"11011001", B"11011010", B"00100010", B"11010101", B"01010011",
1165
 B"00010111", B"11011110", B"00011011", B"11011000", B"11101001",
1166
 B"11101000", B"00001100", B"00001000", B"11011110", B"00100100",
1167
 B"00010101", B"11000110", B"00010100", B"11100100", B"11000010",
1168
 B"00010001", B"00011001", B"10100100", B"00101010", B"11111101",
1169
 B"11100010", B"10111001", B"00001100", B"00110100", B"11101100",
1170
 B"11111100", B"00010100", B"00000011", B"00101000", B"00101011",
1171
 B"01001101", B"00111110", B"11110010", B"11100110", B"00001101",
1172
 B"00110111", B"00011010", B"00101100", B"11011110", B"11001011",
1173
 B"00101011", B"11111010", B"11010010", B"11100001", B"11101101",
1174
 B"00010100", B"11011101", B"01000110", B"11110000", B"00001011",
1175
 B"00101110", B"11101001", B"00000100", B"11011101", B"11110001",
1176
 B"11000100", B"11100000", B"11101101", B"11100010", B"00110011",
1177
 B"01010010", B"11000111", B"00011000", B"11110001", B"11011011",
1178
 B"00011101", B"00011101", B"11101111", B"11111100", B"11101001",
1179
 B"11111001", B"11111011", B"11111000", B"00001000", B"11110001",
1180
 B"11011101", B"11010100", B"01001000", B"01010001", B"11001000",
1181
 B"11011110", B"00111110", B"10101000", B"00000011", B"11011011",
1182
 B"11011011", B"00101101", B"00011011", B"11110101", B"11111001",
1183
 B"11111100", B"10111110", B"00111011", B"00001111", B"11100010",
1184
 B"11001110", B"11001000", B"11011010", B"11101011", B"11011001",
1185
 B"00000100", B"11111101", B"10111000", B"00011111", B"00000001",
1186
 B"11110101", B"00100111", B"11100000", B"00100101", B"11001100",
1187
 B"11110100", B"01000011", B"01000100", B"11000110", B"00110110",
1188
 B"11110011", B"00000001", B"11010010", B"00000011", B"00100100",
1189
 B"11111010", B"10110101", B"00011110", B"00001111", B"11000010",
1190
 B"00000100", B"00011101", B"00010110", B"11010001", B"11011101",
1191
 B"11101110", B"01000001", B"00010011", B"11010111", B"00011001",
1192
 B"11010100", B"10111111", B"00111100", B"11010111", B"11111101",
1193
 B"11100101", B"00001101", B"00110111", B"11010111", B"10101000",
1194
 B"00000011", B"11101110", B"11110000", B"11101000", B"00011101",
1195
 B"00010100", B"11100101", B"11110010", B"11101100", B"00010111",
1196
 B"11011101", B"00110011", B"11011000", B"11110001", B"11110011",
1197
 B"00100000", B"11100011", B"11101110", B"11001111", B"11010100",
1198
 B"11110000", B"11001000", B"00101111", B"00100011", B"11011001",
1199
 B"00001010", B"11010010", B"11000111", B"00010000", B"00011101",
1200
 B"00101000", B"11101101", B"01000101", B"11110110", B"01001000",
1201
 B"11001111", B"11011101", B"00010110", B"00111011", B"11111101",
1202
 B"11110101", B"00100010", B"10101011", B"00000110", B"11011101",
1203
 B"11111000", B"00011100", B"10110010", B"10101001", B"00111001",
1204
 B"11011111", B"00110111", B"10111110", B"11011110", B"11111011",
1205
 B"11011001", B"00101010", B"00001101", B"00011101", B"11010011",
1206
 B"01011011", B"11110100", B"00101101", B"10100111", B"11001100",
1207
 B"00011011", B"00000000", B"00111101", B"11100110", B"11001101",
1208
 B"00011100", B"00011011", B"00110101", B"00001000", B"11001001",
1209
 B"01000010", B"11010101", B"00100001", B"11101000", B"11000100",
1210
 B"11001100", B"11000110", B"00110111", B"00101011", B"11100110",
1211
 B"00110010", B"11111000", B"00110100", B"11001100", B"00011001",
1212
 B"11011111", B"11001010", B"11010010", B"01010000", B"00111101",
1213
 B"00011111", B"11100000", B"00111111", B"01011000", B"11111100",
1214
 B"00010011", B"00100101", B"11100000", B"00011111", B"00010111",
1215
 B"11111101", B"11101000", B"00100001", B"11101011", B"00010010",
1216
 B"11011110", B"00010101", B"00100110", B"11100001", B"00101001",
1217
 B"00011100", B"11010001", B"11111110", B"00110001", B"11101100",
1218
 B"11111001", B"11010101", B"11100111", B"11101001", B"00100000",
1219
 B"11111100", B"11110111", B"00001101", B"00100000", B"00111111",
1220
 B"11010101", B"11010001", B"11100000", B"11011100", B"11001111",
1221
 B"11000100", B"00100111", B"00111000", B"11100010", B"11110100",
1222
 B"11001111", B"11010110", B"11110001", B"11100100", B"11100001",
1223
 B"11001101", B"00100001", B"00001101", B"11111110", B"00010101",
1224
 B"11011100", B"11001010", B"11011011", B"00000011", B"11010100",
1225
 B"00011001", B"11100111", B"11001110", B"00100001", B"00100111",
1226
 B"11111001", B"11111110", B"11101010", B"11100001", B"11010001",
1227
 B"00010001", B"00010100", B"11100111", B"11011011", B"00000000",
1228
 B"00101111", B"11000011", B"11110010", B"11010110", B"11000110",
1229
 B"00110101", B"00101101", B"00000111", B"11100011", B"00011101",
1230
 B"11011101", B"11111000", B"11100000", B"11011011", B"11100101",
1231
 B"11111101", B"11010000", B"00001011", B"11011111", B"11001001",
1232
 B"00010011", B"00011000", B"00111000", B"11101100", B"11011101",
1233
 B"00000001", B"11110101", B"11101110", B"00001111", B"00010000",
1234
 B"11000010", B"00001101", B"10101101", B"11011000", B"01001010",
1235
 B"11110100", B"00001000", B"00010011", B"11100110", B"11000110",
1236
 B"00111110", B"11011101", B"11010100", B"11010010", B"11111110",
1237
 B"00110001", B"00111110", B"00011101", B"11110010", B"00101001",
1238
 B"00000100", B"00011010", B"11010010", B"00010000", B"00001110",
1239
 B"11001001", B"11110010", B"00011011", B"11111001", B"11101010",
1240
 B"11100100", B"11010110", B"11111001", B"11010111", B"11101100",
1241
 B"00010111", B"00101111", B"11110101", B"11111011", B"11101111",
1242
 B"11011110", B"00001111", B"11101011", B"11001111", B"11101010",
1243
 B"11101010", B"00111110", B"11011001", B"11010101", B"00100011",
1244
 B"11000000", B"00010111", B"11110100", B"11010000", B"01000010",
1245
 B"00001100", B"11101001", B"11110001", B"11010000", B"00010111",
1246
 B"00000100", B"00101010", B"00010001", B"00000001", B"00101011",
1247
 B"00101100", B"00101000", B"00010001", B"00101110", B"00101101",
1248
 B"00101100", B"00010100", B"00011011", B"01000001", B"01010100",
1249
 B"11100001", B"00101010", B"11001110", B"00010011", B"11100100",
1250
 B"00001110", B"00111111", B"11001101", B"11111001", B"11100010",
1251
 B"00000000", B"11111011", B"11100011", B"11011011", B"11110100",
1252
 B"11111100", B"00011000", B"00110101", B"00100001", B"00000001",
1253
 B"11011001", B"00000100", B"00110010", B"01000001", B"00011000",
1254
 B"00100000", B"11101100", B"11100001", B"00110100", B"00001010",
1255
 B"11000100", B"00011001", B"11011110", B"10110011", B"11011011",
1256
 B"11101111", B"00001010", B"00110001", B"00010011", B"11001110",
1257
 B"00101011", B"11111110", B"00101000", B"11010101", B"11011000",
1258
 B"00000111", B"00111001", B"00011011", B"00100000", B"00011100",
1259
 B"11011011", B"11110010", B"11001100", B"00110011", B"11101010",
1260
 B"00001010", B"11000100", B"11110110", B"00101001", B"00011001",
1261
 B"11100001", B"10111011", B"11011100", B"11111101", B"00110001",
1262
 B"00000011", B"00110001", B"00011110", B"00011011", B"00100101",
1263
 B"11011111", B"11100111", B"00010100", B"00101011", B"11011000",
1264
 B"11101111", B"10111100", B"00100101", B"01000000", B"00000011",
1265
 B"11001101", B"00101011", B"11101010", B"00100000", B"00001000",
1266
 B"11001110", B"00001101", B"00110011", B"10110011", B"01001000",
1267
 B"11110011", B"11111010", B"11011111", B"11001100", B"00100000",
1268
 B"11110110", B"00100001", B"11100111", B"10101011", B"00010000",
1269
 B"01001000", B"11010010", B"00011111", B"00001110", B"00010000",
1270
 B"00001110", B"00111000", B"11101110", B"00011110", B"11110110",
1271
 B"00101100", B"00110010", B"11010010", B"00101100", B"00010011",
1272
 B"00000111", B"11111010", B"10101101", B"11100110", B"11100001",
1273
 B"11011101", B"00000011", B"11001110", B"00010100", B"11001010",
1274
 B"01100011", B"11110101", B"00000111", B"11001110", B"00100100",
1275
 B"00110101", B"11110000", B"11011110", B"11100110", B"11011110",
1276
 B"00101010", B"00111000", B"11111111", B"00111001", B"11101110",
1277
 B"11111101", B"11101100", B"00000111", B"11001011", B"11100100",
1278
 B"11111000", B"00010010", B"00000100", B"00111010", B"11010000",
1279
 B"01010010", B"11111011", B"11011110", B"11111000", B"00110001",
1280
 B"00101110", B"10111101", B"00111001", B"11000111", B"11011001",
1281
 B"11100011", B"00011010", B"00111011", B"11110111", B"00000011",
1282
 B"00011111", B"00011100", B"11010011", B"11010111", B"11011110",
1283
 B"10111110", B"00000110", B"00011111", B"00010000", B"00000110",
1284
 B"11100011", B"00101100", B"11101011", B"11110110", B"11101100",
1285
 B"00000111", B"11100110", B"10111111", B"11111100", B"11000100",
1286
 B"11111000", B"11001101", B"11100000", B"00110011", B"11010010",
1287
 B"11010111", B"00111010", B"00001010", B"11001001", B"11101100",
1288
 B"11100000", B"11110101", B"11010001", B"11101100", B"00000100",
1289
 B"11111110", B"00110110", B"00001011", B"00001000", B"00010000",
1290
 B"11010010", B"11010110", B"00101101", B"00101000", B"11101101",
1291
 B"00100111", B"11000111", B"11101001", B"11100010", B"00100101",
1292
 B"00001000", B"00001100", B"00011100", B"11011111", B"00100110",
1293
 B"00001100", B"11101111", B"00100000", B"00101100", B"01001001",
1294
 B"00100100", B"11010101", B"00001000", B"11000110", B"00011010",
1295
 B"11000000", B"11011100", B"11101010", B"00010110", B"00000110",
1296
 B"10111100", B"11100011", B"00100101", B"00011100", B"11111111",
1297
 B"11111101", B"00111100", B"11011010", B"00110011", B"11101001",
1298
 B"00111001", B"11110101", B"00001100", B"11111000", B"11111011",
1299
 B"01001111", B"00011110", B"10111011", B"10111111", B"00110010",
1300
 B"01000011", B"00001010", B"00101001", B"11101000", B"11011110",
1301
 B"11001000", B"00000010", B"01001010", B"11101111", B"11001001",
1302
 B"11010111", B"11010010", B"00000010", B"00101000", B"10110100",
1303
 B"00100001", B"11011011", B"00001101", B"11111001", B"00000001",
1304
 B"00110001", B"00110001", B"00010011", B"00001001", B"00101101",
1305
 B"00001111", B"00000001", B"11001100", B"00011111", B"00111101",
1306
 B"00101101", B"00000011", B"00100110", B"00101010", B"11011110",
1307
 B"00000011", B"00101100", B"00101101", B"00111001", B"11100010",
1308
 B"11100000", B"00100110", B"00111010", B"11011000", B"11100000",
1309
 B"11011100", B"00100111", B"00101101", B"11100001", B"00101101",
1310
 B"11101110", B"11000011", B"11010011", B"00010110", B"00000001",
1311
 B"11101101", B"00101000", B"00011110", B"11001111", B"11000100",
1312
 B"00111111", B"00011001", B"00010011", B"00000000", B"00111011",
1313
 B"11100110", B"00000001", B"11100001", B"00011100", B"11110110",
1314
 B"11001100", B"10111001", B"00000011", B"11100001", B"00000011",
1315
 B"11011111", B"00111001", B"10111110", B"11101010", B"11010011",
1316
 B"11110000", B"11110010", B"00000011", B"00011000", B"00110011",
1317
 B"00001001", B"11010110", B"00011001", B"00100001", B"11110101",
1318
 B"00101110", B"00110100", B"11101011", B"00101111", B"00011101",
1319
 B"11110100", B"11110100", B"00010111", B"10111011", B"01000100",
1320
 B"11100111", B"01000111", B"00011111", B"11111111", B"00010010",
1321
 B"00001011", B"00001001", B"00100110", B"01001010", B"11100001",
1322
 B"00100010", B"00010010", B"00001010", B"11101000", B"11001011",
1323
 B"11101101", B"11010101", B"11001011", B"11110100", B"00111110",
1324
 B"00101110", B"00101110", B"00011011", B"11100101", B"11100010",
1325
 B"11111101", B"00101001", B"11010101", B"00010110", B"00000111",
1326
 B"00001110", B"11010101", B"00110000", B"11100000", B"00000111",
1327
 B"11101000", B"00010101", B"11111011", B"11110101", B"00011110",
1328
 B"00001010", B"00101010", B"11100111", B"00110101", B"00100110",
1329
 B"11100000", B"00011110", B"00111001", B"11111110", B"00010100",
1330
 B"11110000", B"11110100", B"00110110", B"11101110", B"11001101",
1331
 B"11001001", B"11011000", B"00100111", B"00011000", B"11101111",
1332
 B"00011111", B"01000010", B"11011101", B"00000111", B"00101010",
1333
 B"00011000", B"01000111", B"00110101", B"11101000", B"00110101",
1334
 B"11010110", B"00100100", B"11101111", B"11011001", B"00000010",
1335
 B"11110100", B"11001111", B"00100011", B"11111111", B"00000111",
1336
 B"00010000", B"00100010", B"11100000", B"00100001", B"00101011",
1337
 B"11001110", B"00100010", B"00111011", B"11100111", B"00111011",
1338
 B"00010000", B"00100100", B"11000110", B"00100101", B"11000000",
1339
 B"00111101", B"11001001", B"00010111", B"11011101", B"00111111",
1340
 B"11100001", B"11110111", B"11010000", B"00100000", B"01001110",
1341
 B"01000010", B"00111111", B"00011100", B"11000011", B"00100010",
1342
 B"11001100", B"00101000", B"11001010", B"00101001", B"00010101",
1343
 B"11000010", B"00011110", B"00000111", B"11101000", B"11001101",
1344
 B"00000001", B"11100110", B"11111001", B"11101111", B"11100010",
1345
 B"11111101", B"00101111", B"11001010", B"00000001", B"01000001",
1346
 B"00101000", B"11001010", B"01011110", B"00001000", B"11100001",
1347
 B"11011110", B"00110110", B"11010010", B"10110011", B"10100100",
1348
 B"00001100", B"00101011", B"11000110", B"00001100", B"11111010",
1349
 B"00100100", B"11100100", B"00011101", B"00011101", B"11000011",
1350
 B"00111111", B"00110000", B"11101101", B"00110000", B"00100001",
1351
 B"00101001", B"10111110", B"00100111", B"11011001", B"00111001",
1352
 B"11110000", B"11100011", B"11011010", B"01000010", B"00110000",
1353
 B"01000001", B"00100100", B"11100110", B"11100001", B"00000001",
1354
 B"11011010", B"11100001", B"00000010", B"11110101", B"00011111",
1355
 B"11010001", B"01001001", B"00001001", B"11110001", B"00101000",
1356
 B"00000001", B"00100001", B"00110101", B"00001100", B"11101001",
1357
 B"11001101", B"00100110", B"11011001", B"00010101", B"11101100",
1358
 B"11011100", B"00010111", B"00101010", B"00101110", B"00100110",
1359
 B"11100110", B"11011010", B"00010110", B"00010000", B"11100000",
1360
 B"11100000", B"11101010", B"00001000", B"11011101", B"00111010",
1361
 B"11011110", B"11100101", B"00101110", B"00010001", B"11010110",
1362
 B"11100000", B"11101010", B"00101111", B"00101001", B"11101110",
1363
 B"00011111", B"11011010", B"00101110", B"11010101", B"01001010",
1364
 B"00111101", B"11001101", B"00111100", B"00101001", B"00000101",
1365
 B"00100010", B"11101011", B"01000011", B"10110101", B"11001100",
1366
 B"10111010", B"00100011", B"00110010", B"00000011", B"00111001",
1367
 B"00001111", B"11001001", B"00100011", B"11010111", B"00010011",
1368
 B"10111101", B"00110111", B"00110001", B"01000000", B"01000000",
1369
 B"00001110", B"00110000", B"00110000", B"00111000", B"00010110",
1370
 B"11010010", B"00001001", B"00101000", B"11100100", B"00101011",
1371
 B"11110110", B"11011100", B"10111110", B"00100111", B"00001010",
1372
 B"00110100", B"11101101", B"00110000", B"11100010", B"00001001",
1373
 B"11011101", B"00111010", B"00101101", B"11100111", B"00001111",
1374
 B"11101000", B"00001010", B"11101111", B"00010101", B"01001110",
1375
 B"11101100", B"00100000", B"00101001", B"11001011", B"11101000",
1376
 B"00101111", B"11101111", B"11011110", B"00101110", B"00011011",
1377
 B"11010111", B"11111101", B"00011010", B"11110011", B"01000100",
1378
 B"00011010", B"01001001", B"00000001", B"01000101", B"00100100",
1379
 B"00110000", B"00010100", B"00001011", B"00000111", B"11001011",
1380
 B"11011010", B"00111000", B"00010100", B"11111110", B"11110111",
1381
 B"11011110", B"11001100", B"11010111", B"11000000", B"01001111",
1382
 B"00100010", B"01000110", B"00110111", B"11011011", B"00101100",
1383
 B"11011110", B"00011010", B"00000000", B"11101100", B"00100011",
1384
 B"00110110", B"00010001", B"00011110", B"10101111", B"00011010",
1385
 B"00111010", B"11010110", B"11110001", B"00001111", B"00111001",
1386
 B"11110000", B"11010010", B"00100011", B"11100101", B"10111111",
1387
 B"11111110", B"11010000", B"00011101", B"00011100", B"11101101",
1388
 B"11011110", B"10111010", B"00011000", B"10111111", B"00100011",
1389
 B"11111001", B"00010010", B"10110100", B"00110111", B"01000101",
1390
 B"11101010", B"01000010", B"11011000", B"00011110", B"11100101",
1391
 B"01001010", B"11101001", B"11100011", B"11000011", B"00110101",
1392
 B"00011110", B"11011111", B"11111011", B"11011001", B"00011110",
1393
 B"00110000", B"00100111", B"00100000", B"00001111", B"00110000",
1394
 B"11001011", B"11101010", B"00011111", B"11001010", B"11100000",
1395
 B"11001100", B"11000010", B"00011100", B"00010111", B"11101111",
1396
 B"00110000", B"00011011", B"11110100", B"00000011", B"01000001",
1397
 B"00100111", B"00000101", B"11001110", B"11100010", B"00110100",
1398
 B"00110011", B"11010110", B"11101110", B"11010011", B"11101010",
1399
 B"00001110", B"11101000", B"00101100", B"10111110", B"11000001",
1400
 B"00101001", B"00101101", B"00100000", B"00100011", B"11110000",
1401
 B"00100001", B"10111001", B"01001100", B"11011100", B"11101010",
1402
 B"11110101", B"00000101", B"00110110", B"11100000", B"01001110",
1403
 B"11101110", B"00110001", B"11110011", B"11001100", B"00101010",
1404
 B"10101100", B"00100011", B"11110100", B"11011011", B"00100011",
1405
 B"00111111", B"00000010", B"00100011", B"11000000", B"00001101",
1406
 B"10111010", B"00010010", B"11010111", B"00000001", B"11101001",
1407
 B"11100100", B"11001110", B"00011000", B"00101010", B"11111111",
1408
 B"00011111", B"11110100", B"11001110", B"11110011", B"01010001",
1409
 B"00011011", B"10101100", B"00011110", B"10110010", B"00001011",
1410
 B"11110001", B"10101100", B"11010010", B"00101101", B"00011000",
1411
 B"00001110", B"00110001", B"11010100", B"00010110", B"11111010",
1412
 B"11010110", B"11101100", B"00111001", B"00111000", B"10111110",
1413
 B"11101011", B"00001011", B"11111011", B"00001110", B"11111011",
1414
 B"00011110", B"11010000", B"00000100", B"00101000", B"00100010",
1415
 B"00100011", B"00001110", B"00011011", B"11010101", B"01000011",
1416
 B"11101101", B"00010111", B"00111000", B"00000011", B"11110100",
1417
 B"00010000", B"11010100", B"00011101", B"11110001", B"11010111",
1418
 B"11001011", B"11100011", B"11111001", B"00010001", B"00000110",
1419
 B"00110100", B"00100110", B"00010011", B"00010000", B"00011001",
1420
 B"00011100", B"00010010", B"00100011", B"00110110", B"00100100",
1421
 B"11011100", B"00001101", B"00011001", B"00111010", B"11100000",
1422
 B"00110001", B"11000111", B"00000111", B"00100100", B"00110001",
1423
 B"00100010", B"00100111", B"00100000", B"00111000", B"00100110",
1424
 B"00001001", B"11011010", B"11001100", B"11010011", B"11011001",
1425
 B"00101110", B"00001001", B"00011110", B"00110001", B"11010101",
1426
 B"11101001", B"11010011", B"00011110", B"00011010", B"00000011",
1427
 B"00100110", B"11001010", B"00001110", B"00100100", B"11100001",
1428
 B"11010011", B"11101101", B"00011110", B"11001100", B"11010111",
1429
 B"00101101", B"11011100", B"11001010", B"00100100", B"11110001",
1430
 B"11011111", B"11100101", B"11100101", B"11101111", B"00000101",
1431
 B"01010110", B"00101011", B"11011011", B"00101000", B"11000101",
1432
 B"00101110", B"00100001", B"11101000", B"00010110", B"11010100",
1433
 B"11001100", B"11101010", B"00010111", B"00010100", B"00011110",
1434
 B"00001010", B"00000110", B"00110000", B"11101100", B"00111100",
1435
 B"01000010", B"11100000", B"11101000", B"00101000", B"00000110",
1436
 B"11111111", B"11011101", B"00010101", B"11010000", B"00100011",
1437
 B"00101000", B"01001101", B"00001110", B"11100010", B"00100101",
1438
 B"11100011", B"00001001", B"11001101", B"00101000", B"00011110",
1439
 B"00000100", B"00000110", B"00100111", B"11010001", B"00000101",
1440
 B"11110010", B"11100110", B"00010101", B"00100001", B"11011111",
1441
 B"11100100", B"10111100", B"11001110", B"11000110", B"00010000",
1442
 B"11010010", B"00100010", B"00111101", B"11110011", B"00000111",
1443
 B"00100111", B"00010011", B"10111100", B"00011100", B"00011001",
1444
 B"01000011", B"10111101", B"00100001", B"11101010", B"00100100",
1445
 B"11101100", B"11110010", B"00111011", B"11000100", B"00000110",
1446
 B"10111011", B"00000010", B"00000001", B"00000010", B"11011000",
1447
 B"00100000", B"00100100", B"00010100", B"01000011", B"11000100",
1448
 B"11111001", B"00011101", B"00011001", B"00101011", B"00101111",
1449
 B"00001111", B"00010100", B"00110010", B"00101000", B"11001100",
1450
 B"11100000", B"00010000", B"10110110", B"01001101", B"10111110",
1451
 B"10111011", B"00101010", B"10111101", B"00011001", B"11010010",
1452
 B"00000010", B"00110000", B"00111100", B"00101111", B"11111011",
1453
 B"10110111", B"00001010", B"00001111", B"10110110", B"11001111",
1454
 B"00011011", B"01000010", B"10110000", B"11101110", B"00011001",
1455
 B"11110111", B"00010110", B"11110111", B"01001000", B"00110010",
1456
 B"11110000", B"11101011", B"11101011", B"11111000", B"00000010",
1457
 B"00110000", B"00100000", B"00011101", B"11011100", B"00001111",
1458
 B"11101010", B"11011110", B"00011101", B"00100110", B"00010101",
1459
 B"11010000", B"11100111", B"00010110", B"11110011", B"11011011",
1460
 B"00101001", B"00110110", B"11100000", B"11100011", B"00001011",
1461
 B"01000110", B"11110011", B"11010001", B"11100011", B"11010011",
1462
 B"00001010", B"10011100", B"00001100", B"00001101", B"00111001",
1463
 B"00110110", B"00010100", B"00011000", B"00010010", B"00011001",
1464
 B"00011110", B"00110001", B"00100011", B"00101110", B"00111001",
1465
 B"00110011", B"00111110", B"00000000", B"00111000", B"11011110",
1466
 B"11001100", B"00100000", B"11001111", B"01010011", B"11100010",
1467
 B"11001101", B"00111001", B"11010000", B"11011101", B"00111110",
1468
 B"11100101", B"00010100", B"11001100", B"11100000", B"00001001",
1469
 B"00000001", B"00100011", B"11111010", B"01010011", B"00000000",
1470
 B"11010110", B"11111111", B"00100100", B"00010111", B"00101101",
1471
 B"11111110", B"00100000", B"00011011", B"00010000", B"00101110",
1472
 B"00100110", B"11010000", B"00010101", B"00010111", B"00111000",
1473
 B"11111011", B"00000101", B"11000010", B"00111100", B"01001011",
1474
 B"11100001", B"01001000", B"00110110", B"11011010", B"00010101",
1475
 B"00101011", B"11111001", B"11010011", B"01000010", B"00100101",
1476
 B"11010000", B"11000111", B"11011110", B"10111100", B"00001110",
1477
 B"11110000", B"00000110", B"00010011", B"11011011", B"11111110",
1478
 B"11100100", B"11110110", B"11000001", B"11111000", B"11010110",
1479
 B"11100011", B"11111101", B"00100100", B"00011100", B"00011110",
1480
 B"00101011", B"11100110", B"00000100", B"00011011", B"11011100",
1481
 B"11100010", B"10110110", B"11110000", B"11101010", B"00011110",
1482
 B"00100100", B"11111100", B"10110110", B"00011011", B"11100110",
1483
 B"00011100", B"11011110", B"11011010", B"11101101", B"11011000",
1484
 B"00101110", B"00011110", B"10111101", B"00110100", B"00000100",
1485
 B"10110011", B"11001100", B"11101010", B"11111000", B"01000001",
1486
 B"11011100", B"00010011", B"11001101", B"11010100", B"10111100",
1487
 B"11010001", B"11100100", B"00101010", B"00010001", B"00011011",
1488
 B"00101101", B"01001111", B"11100001", B"10100001", B"11011111",
1489
 B"11101111", B"00111010", B"11011111", B"00011011", B"10110011",
1490
 B"00111100", B"11110111", B"11010010", B"11100010", B"11001111",
1491
 B"00010101", B"00110110", B"00110110", B"00110001", B"11111110",
1492
 B"00110010", B"00100110", B"00101111", B"11111100", B"00100100",
1493
 B"11110111", B"10111111", B"00100010", B"01000001", B"11110011",
1494
 B"00110011", B"11101101", B"11100100", B"00010011", B"11100011",
1495
 B"11101001", B"11101010", B"11100011", B"00100100", B"11101011",
1496
 B"01011011", B"11110111", B"11011011", B"11000000", B"00010101",
1497
 B"00101111", B"11111111", B"00101000", B"11010001", B"11100100",
1498
 B"00010110", B"11001000", B"00001101", B"11010001", B"11111100",
1499
 B"00110010", B"00110011", B"00100001", B"11011111", B"00100101",
1500
 B"11100010", B"11100011", B"00100000", B"00011001", B"11110010",
1501
 B"00110111", B"11001100", B"00000101", B"00111011", B"10110010",
1502
 B"00010111", B"01000000", B"11100011", B"01010000", B"00111010",
1503
 B"11101011", B"00101001", B"11011010", B"00000000", B"10111110",
1504
 B"00001101", B"11101010", B"11000111", B"00111001", B"00010001",
1505
 B"00010101", B"11011101", B"10111111", B"00100010", B"00100011",
1506
 B"00011101", B"00001100", B"00100111", B"00011100", B"01000100",
1507
 B"00000011", B"00010011", B"11001100", B"10111011", B"00001010",
1508
 B"00100101", B"00101111", B"11110110", B"11001100", B"10101100",
1509
 B"00101000", B"00000001", B"11110101", B"11001010", B"00001110",
1510
 B"00110100", B"00011010", B"11101011", B"11010100", B"11100100",
1511
 B"00100111", B"00000011", B"00010011", B"01000101", B"00000001",
1512
 B"11010010", B"01010111", B"00100110", B"11000010", B"00000011",
1513
 B"00100000", B"00100001", B"11010011", B"11101011", B"00000010",
1514
 B"00010111", B"10111010", B"00001000", B"00000101", B"11100110",
1515
 B"11011111", B"00110111", B"11110011", B"00001010", B"00101001",
1516
 B"11110101", B"11011010", B"11100011", B"11010010", B"00010001",
1517
 B"00001111", B"00100111", B"11101001", B"00011000", B"00011010",
1518
 B"11111111", B"11010001", B"00011110", B"11111110", B"00100100",
1519
 B"00001001", B"11101000", B"11101110", B"00110010", B"00100000",
1520
 B"11100010", B"00001010", B"11000101", B"11011001", B"00011011",
1521
 B"11101100", B"10110100", B"11101101", B"10110110", B"10100010",
1522
 B"00010101", B"11011010", B"00010110", B"11100101", B"11100001",
1523
 B"00001011", B"01000000", B"11111000", B"00100110", B"11011001",
1524
 B"11111000", B"11001010", B"00101110", B"00011000", B"11001111",
1525
 B"11100100", B"11011010", B"11111001", B"00000111", B"00001111",
1526
 B"11110000", B"00010100", B"11011011", B"00010111", B"00010010",
1527
 B"00101001", B"10101010", B"00010010", B"11010010", B"00110111",
1528
 B"11110001", B"00110111", B"11111111", B"10100101", B"00110110",
1529
 B"11001111", B"11100111", B"11100010", B"11001100", B"11011100",
1530
 B"00000010", B"00100010", B"11000010", B"11110111", B"10101101",
1531
 B"11010111", B"11011101", B"00010110", B"00000000", B"00101001",
1532
 B"00001110", B"00100101", B"11111010", B"00100001", B"00001011",
1533
 B"10111001", B"11100000", B"11111101", B"11011100", B"11111100",
1534
 B"00001001", B"00100100", B"00000000", B"00110100", B"11011110",
1535
 B"00111010", B"00111001", B"11011101", B"00010100", B"00111011",
1536
 B"11101010", B"00101010", B"11100100", B"00011100", B"00011010",
1537
 B"11110110", B"01000101", B"00100101", B"11110110", B"00010110",
1538
 B"00001100", B"11101010", B"11111100", B"11111111", B"00101110",
1539
 B"11010001", B"11100000", B"11001110", B"10111111", B"00010011",
1540
 B"11111010", B"00101010", B"11100001", B"11100101", B"11111001",
1541
 B"00010101", B"11010110", B"11100011", B"00000101", B"00100111",
1542
 B"11111001", B"11110010", B"11010110", B"11010010", B"10100000",
1543
 B"00101111", B"11001000", B"00110011", B"11111000", B"11101010",
1544
 B"00011011", B"00001100", B"11100010", B"00100010", B"11111110",
1545
 B"11111110", B"11110010", B"00100011", B"00110001", B"00011111",
1546
 B"11110000", B"00111111", B"11010101", B"00100110", B"11010011",
1547
 B"01001000", B"00010110", B"11100011", B"11101000", B"00010111",
1548
 B"11101101", B"00100100", B"00001001", B"11010111", B"11010110",
1549
 B"11100110", B"11111000", B"00001110", B"11101001", B"00001101",
1550
 B"11010110", B"00001000", B"01101110", B"00011111", B"11100111",
1551
 B"00100100", B"01000111", B"11100000", B"00001110", B"00101111",
1552
 B"11101110", B"00010010", B"00010110", B"00000101", B"11001111",
1553
 B"00110011", B"11101011", B"00101000", B"10111011", B"11000110",
1554
 B"11011000", B"11100000", B"00011110", B"00011111", B"11101101",
1555
 B"00010011", B"11110011", B"00001011", B"00001110", B"11011011",
1556
 B"11101100", B"00010001", B"00100011", B"11001110", B"11000110",
1557
 B"11110000", B"11001100", B"00110001", B"11100001", B"00010101",
1558
 B"11100010", B"10110001", B"00110001", B"11101001", B"11010001",
1559
 B"01100011", B"10111010", B"00110010", B"11001101", B"11100101",
1560
 B"00001001", B"11111011", B"00011001", B"00100111", B"00111110",
1561
 B"00100110", B"00010000", B"00001101", B"01000111", B"11010101",
1562
 B"11101100", B"10110110", B"00010011", B"00101111", B"11010100",
1563
 B"00000110", B"10101000", B"00101000", B"00110111", B"11000011",
1564
 B"00001010", B"00001100", B"10100001", B"11011111", B"00001100",
1565
 B"11101101", B"00011111", B"11101111", B"11001101", B"11100111",
1566
 B"11001000", B"10110111", B"11101000", B"00100000", B"11110000",
1567
 B"11111101", B"00011011", B"11100100", B"11100101", B"11101010",
1568
 B"11100110", B"11010101", B"00011101", B"00100100", B"00001000",
1569
 B"11110011", B"00010001", B"11011101", B"00100101", B"00010111",
1570
 B"00010100", B"11101101", B"00010001", B"00100111", B"00011011",
1571
 B"00100010", B"11111110", B"11110110", B"00010101", B"00001110",
1572
 B"00101101", B"11110111", B"00000010", B"11110111", B"00011010",
1573
 B"10111001", B"01101000", B"11001111", B"00111011", B"11110111",
1574
 B"11011101", B"00010100", B"01010000", B"11010101", B"00100110",
1575
 B"11101011", B"11010101", B"11011101", B"01001011", B"00011101",
1576
 B"11010000", B"11001011", B"01011101", B"00011010", B"00101110",
1577
 B"11001000", B"01001001", B"11010000", B"00011011", B"00010010",
1578
 B"11110000", B"11100111", B"00010111", B"11100111", B"11011010",
1579
 B"11100011", B"10111011", B"00011101", B"00101000", B"11110101",
1580
 B"00101100", B"00100000", B"11110111", B"11011011", B"00001010",
1581
 B"11000100", B"01000101", B"11100011", B"11110011", B"11011000",
1582
 B"11011001", B"00100010", B"00001010", B"11010101", B"11100010",
1583
 B"00000101", B"11010110", B"01000001", B"00100100", B"00100011",
1584
 B"00110011", B"10111111", B"00011110", B"00101000", B"00100001",
1585
 B"11001101", B"00110011", B"11100110", B"00001011", B"00010111",
1586
 B"01000110", B"11101010", B"10111000", B"00101110", B"00101011",
1587
 B"11101010", B"11010110", B"11101111", B"00110001", B"11010101",
1588
 B"00100101", B"11110100", B"11111101", B"01000010", B"00000100",
1589
 B"00001100", B"00000000", B"00110010", B"00100011", B"01000000",
1590
 B"01001001", B"00111001", B"00001111", B"00101111", B"00110010",
1591
 B"11011110", B"00100111", B"00110100", B"11111101", B"11001101",
1592
 B"00101100", B"11110010", B"00011000", B"11110001", B"11110000",
1593
 B"11101111", B"00101011", B"01000100", B"11010011", B"11001000",
1594
 B"11101011", B"11011000", B"01001011", B"11111100", B"11010101",
1595
 B"00001101", B"11010110", B"11010110", B"00011110", B"00100001",
1596
 B"00001011", B"11010010", B"00000011", B"10111100", B"01000010",
1597
 B"00011010", B"11111100", B"11000100", B"11000101", B"00111110",
1598
 B"00101011", B"11100000", B"10110111", B"00101001", B"00010111",
1599
 B"00010111", B"00111010", B"11110001", B"00110101", B"00100001",
1600
 B"00000011", B"00011010", B"11100001", B"00101110", B"11011010",
1601
 B"11101001", B"11001101", B"00100001", B"00101000", B"10101110",
1602
 B"00101001", B"00010000", B"11100101", B"11100010", B"11010111",
1603
 B"00010010", B"11001011", B"00101000", B"11001010", B"00011011",
1604
 B"00101010", B"11100100", B"00110110", B"11111110", B"11110010",
1605
 B"00000000", B"10101111", B"11010101", B"11101010", B"11001110",
1606
 B"00101100", B"11000010", B"01000011", B"11101111", B"11011001",
1607
 B"10111111", B"11101010", B"00101100", B"11001101", B"11110011",
1608
 B"10111011", B"00011110", B"11001010", B"11010111", B"11001000",
1609
 B"11011000", B"00100000", B"10110001", B"00000010", B"00001001",
1610
 B"00100001", B"11010100", B"11110100", B"00001000", B"00001010",
1611
 B"11100100", B"11010011", B"00001010", B"11111101", B"11101110",
1612
 B"11101110", B"11010000", B"00000111", B"11110110", B"00111110",
1613
 B"00001101", B"11110001", B"00011100", B"00011110", B"00000010",
1614
 B"00010110", B"00110001", B"11011101", B"11010011", B"00010000",
1615
 B"00101110", B"00111110", B"00010001", B"11111000", B"11101110",
1616
 B"11101010", B"11010101", B"00011111", B"11100101", B"00100110",
1617
 B"11000100", B"00000110", B"00011000", B"00011100", B"11110010",
1618
 B"11001010", B"11101101", B"00111101", B"11000011", B"10110111",
1619
 B"11011110", B"11100010", B"11010011", B"00111110", B"11110011",
1620
 B"00001101", B"11101100", B"00011100", B"00011001", B"11000101",
1621
 B"11000100", B"11100001", B"11001111", B"11110000", B"00111001",
1622
 B"00101010", B"00010101", B"00101111", B"11101001", B"11111111",
1623
 B"11101100", B"00010100", B"00010111", B"11100000", B"00010110",
1624
 B"11010011", B"11101101", B"00110011", B"11000101", B"11010111",
1625
 B"11101010", B"00101101", B"00101011", B"11011001", B"11111111",
1626
 B"11000100", B"11111110", B"11010010", B"11110001", B"00001011",
1627
 B"11101010", B"00000010", B"00000101", B"11110110", B"00001010",
1628
 B"00101010", B"00100011", B"11100010", B"00010011", B"11010110",
1629
 B"00100110", B"00100101", B"11011000", B"11100110", B"00010011",
1630
 B"00101110", B"11100101", B"11111111", B"00101111", B"00010010",
1631
 B"11100010", B"11100110", B"00000101", B"00111101", B"11110000",
1632
 B"11110110", B"00010011", B"00001011", B"11001010", B"11011100",
1633
 B"00101000", B"00001100", B"11010010", B"11111111", B"00100001",
1634
 B"00101010", B"00001111", B"11011011", B"00101101", B"11011100",
1635
 B"00111000", B"11001010", B"01001100", B"00000101", B"11100000",
1636
 B"00011010", B"11110000", B"11001101", B"11110110", B"11100100",
1637
 B"11010000", B"00001000", B"10101011", B"00011100", B"00101011",
1638
 B"10111100", B"00100110", B"11110111", B"11100101", B"00000100",
1639
 B"00110100", B"01000001", B"10111100", B"11110101", B"11001111",
1640
 B"00011110", B"00101010", B"00001110", B"00001010", B"00110001",
1641
 B"00011001", B"00011111", B"01100010", B"00010010", B"11001111",
1642
 B"11001100", B"00110001", B"00000111", B"00010100", B"00011100",
1643
 B"11110000", B"11010010", B"00001011", B"00101111", B"00011100",
1644
 B"11100011", B"00011011", B"11110000", B"00011010", B"11010001",
1645
 B"00101001", B"11011010", B"00011100", B"00101100", B"11000000",
1646
 B"00000110", B"00011111", B"11000110", B"00000000", B"00011011",
1647
 B"00000010", B"11100100", B"00100100", B"11001111", B"00001000",
1648
 B"11010111", B"00001011", B"00110101", B"11000111", B"00011100",
1649
 B"00011000", B"10111000", B"11011010", B"00001001", B"11010110",
1650
 B"00111000", B"00110101", B"11101111", B"11111111", B"11110101",
1651
 B"11011110", B"11101001", B"00011100", B"00010100", B"11010101",
1652
 B"11111111", B"00111010", B"00000111", B"11110111", B"11011010",
1653
 B"00010100", B"11011000", B"01001010", B"00101001", B"11100010",
1654
 B"00000110", B"00011110", B"11010110", B"00000110", B"01000101",
1655
 B"11010101", B"11111001", B"11111010", B"00010111", B"11011111",
1656
 B"11100000", B"00001010", B"11111001", B"11000001", B"11010001",
1657
 B"11111101", B"00100101", B"11000000", B"11110001", B"00101101",
1658
 B"11111000", B"11100010", B"11011000", B"11001010", B"00101011",
1659
 B"11101000", B"01011100", B"11011100", B"00010110", B"00111101",
1660
 B"11110100", B"00101010", B"11001000", B"11101110", B"11011100",
1661
 B"11101010", B"00000000", B"11010110", B"11011000", B"00010011",
1662
 B"00110001", B"00001011", B"00110100", B"11111111", B"11011010",
1663
 B"00101101", B"11001110", B"00000100", B"11001110", B"11101110",
1664
 B"00111101", B"01001000", B"00110111", B"00000100", B"00101111",
1665
 B"00011101", B"11111001", B"00100011", B"00101100", B"00011101",
1666
 B"00010110", B"00011101", B"00000100", B"00101001", B"00011101",
1667
 B"11110010", B"00100000", B"11101110", B"00011111", B"11110110",
1668
 B"00000011", B"11000000", B"11101011", B"11100110", B"00101010",
1669
 B"00110100", B"00001001", B"00100110", B"00010110", B"00010001",
1670
 B"00001011", B"00010111", B"00111101", B"00010101", B"11111110",
1671
 B"11010110", B"01000010", B"11110011", B"11101101", B"11001110",
1672
 B"11001011", B"11110101", B"11111000", B"11011100", B"01011001",
1673
 B"11010010", B"11100110", B"11101000", B"11100110", B"00011111",
1674
 B"01001001", B"00101011", B"11011101", B"00011010", B"11010110",
1675
 B"00011001", B"11001001", B"11001001", B"00000011", B"00110101",
1676
 B"00101010", B"00001111", B"00010010", B"11011010", B"11101010",
1677
 B"11010001", B"11010110", B"11100000", B"11011011", B"00000001",
1678
 B"00011100", B"00001100", B"00101101", B"11110100", B"11111011",
1679
 B"00001011", B"00110100", B"00010100", B"00010001", B"11100110",
1680
 B"11101100", B"00111000", B"00010011", B"00010011", B"00011100",
1681
 B"00010110", B"00101001", B"00100110", B"00000011", B"10111100",
1682
 B"00001000", B"00100100", B"11100001", B"11110111", B"10101101",
1683
 B"11100011", B"11101011", B"11011010", B"11100101", B"00100001",
1684
 B"11101011", B"00110010", B"10110010", B"11001000", B"00100101",
1685
 B"00100011", B"00001101", B"00111111", B"00000000", B"00010110",
1686
 B"11011010", B"00100001", B"11100001", B"11000100", B"00100101",
1687
 B"01001010", B"11010011", B"00001001", B"11101011", B"11100111",
1688
 B"11101001", B"00001100", B"11010111", B"00101011", B"10101001",
1689
 B"11100011", B"11100000", B"00100001", B"00001101", B"00100111",
1690
 B"11010110", B"01000111", B"11011111", B"11011010", B"11101011",
1691
 B"00011101", B"00110000", B"00010011", B"11101010", B"00010010",
1692
 B"11110110", B"11011110", B"11011011", B"00100001", B"00011110",
1693
 B"11011101", B"00011110", B"00100101", B"00010001", B"11010100",
1694
 B"00110011", B"11110001", B"00101011", B"00110010", B"11011001",
1695
 B"00010001", B"11011001", B"11010110", B"11110000", B"11100111",
1696
 B"00100001", B"00100101", B"00010101", B"00110111", B"11010101",
1697
 B"00011100", B"10110011", B"00101010", B"11011110", B"11001000",
1698
 B"11110101", B"00101101", B"01001011", B"00001100", B"00111110",
1699
 B"00001010", B"11100000", B"11011110", B"11000111", B"11111010",
1700
 B"11011111", B"01000111", B"00001010", B"00001001", B"00001001",
1701
 B"10110010", B"10111000", B"11111110", B"11101110", B"00010010",
1702
 B"01000000", B"00100100", B"00100111", B"11001111", B"00100110",
1703
 B"00000010", B"00010100", B"11001110", B"00100110", B"00000011",
1704
 B"00101111", B"00010000", B"11011110", B"11111001", B"00100101",
1705
 B"00110111", B"11011010", B"00011010", B"10110010", B"11011010",
1706
 B"00110110", B"00010101", B"00100001", B"11111010", B"00001100",
1707
 B"11110101", B"11011000", B"00100010", B"11100000", B"00010111",
1708
 B"11100101", B"11011010", B"11010001", B"00000100", B"11111001",
1709
 B"00110010", B"00101000", B"11010111", B"11000010", B"00000001",
1710
 B"00000111", B"11100100", B"11000111", B"11011000", B"11011111",
1711
 B"11100100", B"11101111", B"00010000", B"00101101", B"00010011",
1712
 B"00001001", B"00000110", B"11010010", B"11011101", B"00011000",
1713
 B"11110001", B"10110111", B"11010001", B"11101100", B"11011011",
1714
 B"00100001", B"00101110", B"11111110", B"11011101", B"11011110",
1715
 B"11100000", B"11000100", B"11001010", B"11101100", B"11111110",
1716
 B"00101101", B"11011001", B"11101000", B"01000110", B"00000111",
1717
 B"11111111", B"00011010", B"11010000", B"11001101", B"00100100",
1718
 B"00111000", B"11111010", B"11011010", B"11011000", B"11011000",
1719
 B"11101010", B"11011100", B"00011010", B"10111010", B"11001100",
1720
 B"00001100", B"00001111", B"00100001", B"11010011", B"11000001",
1721
 B"11000111", B"00001101", B"00001011", B"11110010", B"00010011",
1722
 B"00100011", B"11100011", B"00000001", B"00111100", B"11111110",
1723
 B"00000010", B"00100110", B"10110010", B"00001110", B"00101001",
1724
 B"00011010", B"00010001", B"00110101", B"11111000", B"11011001",
1725
 B"00100111", B"00011110", B"00010110", B"01000001", B"00101001",
1726
 B"00100011", B"00011011", B"00100010", B"00101111", B"11000000",
1727
 B"00100010", B"11100000", B"11101001", B"11001001", B"00001101",
1728
 B"00001011", B"00010111", B"00010110", B"00100011", B"00100010",
1729
 B"00100110", B"00011111", B"00111010", B"00100111", B"00011111",
1730
 B"00100110", B"11110010", B"11110000", B"01001111", B"00011100",
1731
 B"11110001", B"11011110", B"00011010", B"11001100", B"11011101",
1732
 B"11110000", B"00110111", B"10111111", B"00010011", B"11011110",
1733
 B"11111010", B"00110000", B"00000110", B"11100000", B"11010000",
1734
 B"00000000", B"11011110", B"10110011", B"00001011", B"11100011",
1735
 B"11010101", B"11011011", B"11111111", B"00100000", B"11100001",
1736
 B"00000111", B"01001100", B"11111111", B"10110011", B"00000100",
1737
 B"11101000", B"11110111", B"11010100", B"11110101", B"00110011",
1738
 B"11100011", B"00000110", B"00100100", B"11100001", B"11010111",
1739
 B"11001011", B"11111011", B"00100111", B"01000011", B"11101000",
1740
 B"11100010", B"00011010", B"00111000", B"00000010", B"11110011",
1741
 B"11000100", B"00001000", B"10111101", B"00110010", B"11111000",
1742
 B"11100100", B"00010101", B"11111111", B"00101001", B"00001110",
1743
 B"00010011", B"00000010", B"00011100", B"00100011", B"00011011",
1744
 B"00001010", B"11011001", B"00101110", B"00100100", B"11101000",
1745
 B"11000000", B"00010101", B"11001110", B"00110101", B"00000100",
1746
 B"11100001", B"11111000", B"00001101", B"11110100", B"00101100",
1747
 B"11011001", B"00101110", B"00000001", B"11110110", B"11011100",
1748
 B"11101011", B"11011110", B"00100111", B"11101100", B"00101001",
1749
 B"11001101", B"11011000", B"11010001", B"11101100", B"00100101",
1750
 B"10110011", B"10100100", B"00011011", B"11111111", B"00010000",
1751
 B"00110000", B"10101101", B"00000010", B"11100101", B"11000111",
1752
 B"11110110", B"00000100", B"00001010", B"00100100", B"11110110",
1753
 B"00011000", B"11110011", B"00100100", B"11001111", B"11011100",
1754
 B"01000000", B"11100011", B"11110111", B"11010100", B"00001101",
1755
 B"00111111", B"10100100", B"11010111", B"10111011", B"01000101",
1756
 B"00010100", B"00101001", B"00010100", B"11001000", B"11011011",
1757
 B"11101111", B"10111011", B"00100100", B"10111010", B"00110011",
1758
 B"11001011", B"00001001", B"00010100", B"00001010", B"11010010",
1759
 B"11011011", B"00110001", B"00000101", B"11010011", B"10101111",
1760
 B"11101000", B"11101111", B"11101001", B"00011001", B"11010111",
1761
 B"01000101", B"10111111", B"11010101", B"00100010", B"00100111",
1762
 B"00001000", B"11001000", B"00011110", B"00100100", B"11101100",
1763
 B"11101010", B"00011010", B"11001110", B"00011000", B"11011111",
1764
 B"00100011", B"11100010", B"11010001", B"00001110", B"11111111",
1765
 B"00010100", B"01000001", B"00100101", B"11110110", B"00100100",
1766
 B"11101100", B"00001100", B"11011000", B"00011000", B"11111000",
1767
 B"00000001", B"11100100", B"00101111", B"11101001", B"00001010",
1768
 B"11100111", B"11110110", B"11010101", B"11110101", B"11011111",
1769
 B"11100110", B"00010110", B"11011100", B"00101000", B"00000010",
1770
 B"01000011", B"01001001", B"11100110", B"00011001", B"11110100",
1771
 B"00010111", B"11111010", B"11000010", B"00001010", B"11101001",
1772
 B"11001011", B"11101000", B"11110011", B"00010010", B"11011000",
1773
 B"11000010", B"11101011", B"00100011", B"01001010", B"00101010",
1774
 B"00011011", B"11011100", B"11100010", B"11010100", B"11101101",
1775
 B"00011101", B"11001101", B"00100111", B"00000110", B"11011110",
1776
 B"01000001", B"00011110", B"00010110", B"11010100", B"00011100",
1777
 B"00011101", B"11001101", B"11011001", B"01001000", B"00111100",
1778
 B"00010011", B"11111010", B"11100101", B"00110101", B"11010111",
1779
 B"00100100", B"11011110", B"00001110", B"11101000", B"11001110",
1780
 B"00000010", B"11011101", B"11110011", B"11011100", B"11101011",
1781
 B"11110000", B"11101110", B"00110111", B"00001000", B"00100101",
1782
 B"11101101", B"11110101", B"00001010", B"11100111", B"11111011",
1783
 B"11010010", B"00001000", B"00100001", B"00011000", B"00001011",
1784
 B"00101101", B"00101000", B"11111100", B"00010010", B"11111100",
1785
 B"00010110", B"11001011", B"00001101", B"11111100", B"00100001",
1786
 B"00101111", B"11000010", B"11001100", B"00100001", B"00100111",
1787
 B"11000111", B"11101100", B"11111011", B"00010111", B"01000101",
1788
 B"00000000", B"00110111", B"11110100", B"00100000", B"11010001",
1789
 B"00000000", B"00110011", B"00000010", B"00110000", B"11011001",
1790
 B"11010001", B"00100010", B"00100101", B"01011010", B"11101011",
1791
 B"00100000", B"00000101", B"11001111", B"00010110", B"00110000",
1792
 B"00000111", B"00010101", B"00110110", B"00110101", B"11010011",
1793
 B"11110111", B"00001000", B"00011111", B"00000001", B"11111010",
1794
 B"11001001", B"11011001", B"11101010", B"11100101", B"00000010",
1795
 B"00001100", B"00110010", B"01000010", B"00000101", B"11101110",
1796
 B"11110010", B"00010101", B"00100010", B"11110011", B"11000000",
1797
 B"11010000", B"00101001", B"11010011", B"00110001", B"11001001",
1798
 B"11110100", B"00011111", B"00101010", B"11001110", B"00101000",
1799
 B"11100000", B"11110000", B"11100101", B"00001101", B"00110110",
1800
 B"11011001", B"11001000", B"01000000", B"00000101", B"00010001",
1801
 B"11101000", B"00111010", B"11111011", B"00010110", B"10101010",
1802
 B"11100101", B"00100000", B"11100100", B"00011011", B"11001111",
1803
 B"11100101", B"00110111", B"00010110", B"11111000", B"11110110",
1804
 B"00001001", B"00111011", B"00101000", B"11101010", B"11100110",
1805
 B"01001001", B"00010000", B"11011111", B"00101111", B"00010110",
1806
 B"11100011", B"11010010", B"00110010", B"00011110", B"00100001",
1807
 B"00110101", B"11100011", B"00100000", B"11000010", B"00001101",
1808
 B"11101010", B"10101110", B"11010010", B"11010000", B"00001111",
1809
 B"00011010", B"11001011", B"00111100", B"11011001", B"00100100",
1810
 B"11001110", B"00011110", B"11011101", B"11010000", B"00000011",
1811
 B"00011110", B"00100111", B"11101000", B"10110110", B"11111010",
1812
 B"11001100", B"00011100", B"00011010", B"00010111", B"00110111",
1813
 B"11111100", B"11101000", B"00011000", B"00001001", B"11011011",
1814
 B"00001101", B"00101011", B"00100100", B"11101000", B"00010000",
1815
 B"00100100", B"00110100", B"11011010", B"00111101", B"11101000",
1816
 B"00101011", B"11010100", B"00101000", B"00110001", B"11110011",
1817
 B"00010101", B"11101111", B"11001111", B"11010111", B"00001010",
1818
 B"11100010", B"00100101", B"11101000", B"11100110", B"11010100",
1819
 B"00101000", B"00000010", B"11101110", B"00010111", B"11100001",
1820
 B"11010100", B"11000110", B"00001001", B"00110111", B"11110000",
1821
 B"00111001", B"10111111", B"11011000", B"11100010", B"11101110",
1822
 B"00101010", B"11001010", B"00100011", B"11010000", B"11111000",
1823
 B"11011101", B"11011100", B"00010110", B"01001010", B"00001010",
1824
 B"00110000", B"00001000", B"00111100", B"00001001", B"11110000",
1825
 B"00100101", B"11011001", B"11111011", B"11001110", B"01011101",
1826
 B"11110000", B"00011111", B"00001000", B"11101110", B"00110001",
1827
 B"00100011", B"00000110", B"11011111", B"11101000", B"11100001",
1828
 B"10110101", B"01000000", B"00011110", B"00101101", B"00110011",
1829
 B"11110001", B"00111100", B"00101001", B"00001010", B"11101111",
1830
 B"11111111", B"11011011", B"00011000", B"11110111", B"00011100",
1831
 B"00011010", B"11111001", B"11100111", B"00011000", B"11110000",
1832
 B"00001100", B"00001101", B"11011111", B"11010000", B"10111111",
1833
 B"11010111", B"00100100", B"11001111", B"00101111", B"01000110",
1834
 B"11001000", B"11110111", B"11010010", B"11000111", B"11001111",
1835
 B"00001101", B"00101101", B"00110100", B"11110100", B"11110110",
1836
 B"11011100", B"00110011", B"00000111", B"11111101", B"11101100",
1837
 B"01010010", B"00001100", B"00101110", B"10100010", B"00011010",
1838
 B"11011101", B"00101001", B"11001001", B"00001000", B"00000000",
1839
 B"00011001", B"01011110", B"00101011", B"00011000", B"01100011",
1840
 B"00010000", B"00000001", B"11101001", B"00111001", B"10111101",
1841
 B"11110101", B"11001110", B"11101100", B"00011000", B"11110011",
1842
 B"11011110", B"00101110", B"11000100", B"00010111", B"11100101",
1843
 B"11010101", B"00011111", B"11100111", B"11011110", B"11011001",
1844
 B"00000101", B"11111110", B"00000010", B"00111011", B"11000010",
1845
 B"00111101", B"00011010", B"00101110", B"11100110", B"00000000",
1846
 B"11100100", B"11110110", B"11001001", B"00011000", B"11010101",
1847
 B"11110101", B"00010001", B"11100100", B"11111110", B"11001010",
1848
 B"11001101", B"11001110", B"00000000", B"11001001", B"10110101",
1849
 B"10111010", B"00001001", B"00000000", B"11111001", B"00011111",
1850
 B"11010110", B"11011111", B"00111101", B"11100000", B"11101001",
1851
 B"11001100", B"11001010", B"00101111", B"11011000", B"00000100",
1852
 B"00011101", B"00100010", B"00110110", B"00100111", B"00011110",
1853
 B"11010111", B"11100100", B"11111100", B"00111111", B"00100100",
1854
 B"00010110", B"11111101", B"00010100", B"00001000", B"00011100",
1855
 B"00010100", B"10111011", B"00101011", B"10111001", B"00101010",
1856
 B"11000001", B"11110110", B"11010110", B"00001111", B"00011100",
1857
 B"11111011", B"00111010", B"11011011", B"11010011", B"11001000",
1858
 B"00001110", B"00010001", B"11110111", B"00111111", B"11100001",
1859
 B"10110101", B"11111011", B"11100101", B"11011111", B"11100000",
1860
 B"00000001", B"01000100", B"00001010", B"00011000", B"01000000",
1861
 B"00110000", B"11101110", B"11100010", B"11000100", B"11011000",
1862
 B"00100010", B"11010111", B"01000000", B"00101010", B"00101100",
1863
 B"00010000", B"11010101", B"00010011", B"11011101", B"00011110",
1864
 B"11100011", B"00110000", B"11100110", B"00100010", B"00010101",
1865
 B"11011001", B"00101000", B"11110101", B"11010100", B"00111000",
1866
 B"11110010", B"01001100", B"00111000", B"11100100", B"01001100",
1867
 B"00100101", B"11000000", B"11101111", B"11011010", B"00101001",
1868
 B"11111101", B"00001100", B"00001001", B"11110101", B"11101010",
1869
 B"11101110", B"11101101", B"00010101", B"00100110", B"00100000",
1870
 B"00100010", B"11001011", B"11011111", B"00000001", B"11100000",
1871
 B"01010001", B"11010100", B"00101001", B"11100101", B"11100001",
1872
 B"00010010", B"11111001", B"00110111", B"10111101", B"00100111",
1873
 B"11110011", B"00000111", B"00100101", B"00101111", B"11100011",
1874
 B"00101110", B"11111100", B"11010001", B"11110000", B"00111101",
1875
 B"00011110", B"11110110", B"11110110", B"00001100", B"11111110",
1876
 B"00011101", B"00000100", B"11000101", B"00111000", B"11111001",
1877
 B"11010011", B"00001010", B"11010100", B"11011010", B"11110001",
1878
 B"00010100", B"01011000", B"00000000", B"10111010", B"10110110",
1879
 B"00101011", B"11100100", B"11111011", B"11010011", B"11110110",
1880
 B"00011110", B"11100010", B"11111001", B"11110000", B"00010000",
1881
 B"11111000", B"00011001", B"00001111", B"00001010", B"11111101",
1882
 B"11101010", B"00000001", B"00111100", B"11110010", B"00110000",
1883
 B"00110010", B"11101110", B"00001001", B"00111001", B"11111000",
1884
 B"10111101", B"00000000", B"11111111", B"00000001", B"11100001",
1885
 B"11010001", B"10101111", B"00000111", B"11101011", B"00010101",
1886
 B"00110001", B"00101001", B"00000111", B"11011011", B"00011101",
1887
 B"11100001", B"00000110", B"11010011", B"10110011", B"00101101",
1888
 B"00100011", B"00100111", B"11100000", B"11110111", B"00101000",
1889
 B"11101110", B"11011111", B"11100111", B"11110001", B"00000010",
1890
 B"11101011", B"00010010", B"11101011", B"11010111", B"11100110",
1891
 B"11001100", B"11011001", B"00001101", B"10000001", B"11001111",
1892
 B"11011001", B"00010101", B"00111110", B"00111000", B"00011011",
1893
 B"00111010", B"11010111", B"11101110", B"00011100", B"11010111",
1894
 B"11000100", B"11110001", B"11010110", B"00001111", B"11001010",
1895
 B"11101111", B"00000001", B"11001111", B"11100111", B"11101100",
1896
 B"11011011", B"00011100", B"00010011", B"00111110", B"00100011",
1897
 B"00001000", B"00010101", B"00101000", B"00000100", B"00000110",
1898
 B"00010001", B"11100011", B"00110010", B"00001010", B"11001001",
1899
 B"00010011", B"00011110", B"00111000", B"11001101", B"11011110",
1900
 B"11010111", B"11100100", B"00101011", B"11011010", B"00101111",
1901
 B"11111011", B"10100100", B"11110111", B"10101101", B"00000010",
1902
 B"00011001", B"11010101", B"00101111", B"00010001", B"00100001",
1903
 B"11000101", B"11001100", B"00010111", B"00011110", B"11110000",
1904
 B"11110111", B"00010010", B"11010110", B"00010100", B"10110000",
1905
 B"11110000", B"11010110", B"00101000", B"00010001", B"11101100",
1906
 B"00101010", B"00011101", B"11011000", B"11101001", B"10111101",
1907
 B"11001000", B"11111000", B"01000011", B"00101000", B"00100011",
1908
 B"00101010", B"11100010", B"01100010", B"00011110", B"00011111",
1909
 B"00100000", B"11100001", B"11100111", B"11000101", B"10111011",
1910
 B"00011110", B"10111001", B"00110011", B"00101101", B"10111100",
1911
 B"10111101", B"01001100", B"00000101", B"11100101", B"00000111",
1912
 B"11011111", B"11110101", B"11101100", B"00100000", B"00000010",
1913
 B"00100001", B"00101000", B"11101111", B"00001100", B"00100010",
1914
 B"00110110", B"01001110", B"11111110", B"00111010", B"11011000",
1915
 B"00000110", B"11000110", B"11100110", B"11000011", B"00110001",
1916
 B"00011101", B"00011011", B"00110001", B"11101110", B"10101010",
1917
 B"00001111", B"00001110", B"00000101", B"11101111", B"11100011",
1918
 B"10101001", B"01001101", B"01000000", B"00111101", B"00000101",
1919
 B"00101000", B"11101100", B"11100011", B"11010011", B"00001101",
1920
 B"00111001", B"00100101", B"00111010", B"00100010", B"00001001",
1921
 B"00010110", B"00100101", B"00010011", B"00100010", B"00010001",
1922
 B"01001011", B"00010000", B"01001001", B"00001101", B"11100100",
1923
 B"11100010", B"00010010", B"11010111", B"11000101", B"11010001",
1924
 B"11001110", B"00001001", B"01000111", B"00101000", B"01000101",
1925
 B"01010010", B"00010111", B"11100000", B"11111110", B"00011010",
1926
 B"00010101", B"11100011", B"11110010", B"11011101", B"00101010",
1927
 B"00001101", B"11010110", B"11100010", B"11110000", B"10111111",
1928
 B"11001110", B"11011100", B"01000110", B"01010101", B"00101000",
1929
 B"10101101", B"00100100", B"11010110", B"00110101", B"00110101",
1930
 B"10110011", B"00000110", B"11101101", B"11010101", B"11101111",
1931
 B"00100011", B"00100111", B"00010001", B"11111010", B"11110000",
1932
 B"11110011", B"11001111", B"00000111", B"11000001", B"11001110",
1933
 B"11101000", B"00001011", B"01000001", B"11001101", B"11010010",
1934
 B"11110011", B"11001010", B"11101101", B"11001110", B"11111111",
1935
 B"11010100", B"00010001", B"00000111", B"11101010", B"00111010",
1936
 B"11010010", B"00100000", B"00000000", B"11110101", B"11101010",
1937
 B"11011111", B"00010100", B"11100111", B"01011001", B"11110100",
1938
 B"00010010", B"11010100", B"11001101", B"00011110", B"00000101",
1939
 B"00100000", B"11011011", B"11010101", B"00111011", B"00001001",
1940
 B"00011011", B"11011101", B"00111100", B"11001100", B"00010010",
1941
 B"00001011", B"11110100", B"00000011", B"11011100", B"00000000",
1942
 B"11001001", B"00011001", B"11110101", B"10111100", B"00011110",
1943
 B"00110001", B"11100100", B"11101101", B"11010010", B"10110110",
1944
 B"11101111", B"11111001", B"11100100", B"11011101", B"00111100",
1945
 B"00101010", B"11110111", B"00110101", B"11111000", B"11100001",
1946
 B"00100011", B"11011100", B"11100110", B"11011010", B"00010001",
1947
 B"00100011", B"00001111", B"00110100", B"11000101", B"00101111",
1948
 B"11011110", B"11111001", B"11011110", B"01000011", B"00101111",
1949
 B"00110010", B"01000101", B"00101110", B"11011100", B"00101010",
1950
 B"11100011", B"00011000", B"11010011", B"00001101", B"11010101",
1951
 B"11100100", B"00001001", B"00111011", B"00100001", B"00000100",
1952
 B"00111001", B"11001100", B"11011110", B"11100110", B"11001100",
1953
 B"00110101", B"00100101", B"00101001", B"00110110", B"00011001",
1954
 B"00111100", B"11011010", B"00011101", B"00001000", B"11001000",
1955
 B"00000100", B"00111000", B"11011001", B"11011110", B"00100000",
1956
 B"10111100", B"00100011", B"11010001", B"00000101", B"00000001",
1957
 B"00110100", B"11101100", B"11000000", B"00010101", B"00011010",
1958
 B"00000101", B"11010001", B"00101111", B"00001101", B"00000001",
1959
 B"00000010", B"00010110", B"01011011", B"11110010", B"11110101",
1960
 B"00011000", B"11101001", B"00110011", B"00010010", B"11010110",
1961
 B"00010111", B"11111000", B"00111100", B"11111101", B"11111001",
1962
 B"11011010", B"00101110", B"11100110", B"11010101", B"00001001",
1963
 B"00010000", B"11101111", B"00011000", B"11010110", B"00100000",
1964
 B"00000001", B"11001100", B"01001001", B"00101001", B"11101110",
1965
 B"11010010", B"11101011", B"00100100", B"11110001", B"00110010",
1966
 B"11100000", B"11010101", B"00110111", B"11101100", B"00001100",
1967
 B"00100101", B"11011010", B"11010001", B"10111011", B"00000100",
1968
 B"11101010", B"11010100", B"00110110", B"01010000", B"10111001",
1969
 B"11100001", B"11000101", B"11100101", B"11110111", B"11111000",
1970
 B"00011000", B"00001101", B"00101000", B"01001001", B"00011111",
1971
 B"11010110", B"11001110", B"11111010", B"00100011", B"00110001",
1972
 B"00001100", B"11100011", B"00001111", B"11011101", B"00011100",
1973
 B"11100100", B"00001001", B"00100101", B"11000001", B"10101110",
1974
 B"10111101", B"00010000", B"11001101", B"00100001", B"11110101",
1975
 B"11100111", B"00101111", B"00100010", B"10111101", B"11011100",
1976
 B"00011001", B"00011111", B"11011010", B"11110111", B"11110110",
1977
 B"10101101", B"00100011", B"11110100", B"00111101", B"11101010",
1978
 B"00110101", B"11001010", B"00000100", B"10110010", B"11000111",
1979
 B"00011100", B"00110100", B"00011100", B"00001011", B"11010111",
1980
 B"11100100", B"00101001", B"00101110", B"11111010", B"11000001",
1981
 B"00011110", B"00011000", B"10110011", B"00000111", B"00011110",
1982
 B"11011110", B"00001011", B"00011101", B"11101100", B"00001011",
1983
 B"00000101", B"11100100", B"11010001", B"00100110", B"00100101",
1984
 B"11100110", B"00111101", B"00001100", B"11010111", B"11000000",
1985
 B"00011000", B"00010110", B"11110011", B"00000111", B"11110000",
1986
 B"11101010", B"11000100", B"11111011", B"11010100", B"11010000",
1987
 B"11110011", B"11110110", B"11100101", B"00000000", B"11100010",
1988
 B"00001111", B"11101000", B"11001100", B"00101011", B"00011001",
1989
 B"00100000", B"11001011", B"11110001", B"11100101", B"11111011",
1990
 B"00101100", B"11001001", B"00101101", B"00001111", B"00011101",
1991
 B"11011101", B"11110110", B"00011011", B"10101101", B"11100111",
1992
 B"00110000", B"00100111", B"11111010", B"11110110", B"11001011",
1993
 B"10101010", B"00010010", B"11101000", B"00001010", B"00001010",
1994
 B"00101011", B"11010101", B"11010101", B"01001111", B"00100000",
1995
 B"11010100", B"11100010", B"00100111", B"01000101", B"11110100",
1996
 B"11011010", B"00100010", B"11110111", B"11100001", B"11101110",
1997
 B"11111101", B"11100001", B"01010101", B"01010100", B"11101011",
1998
 B"00010101", B"00011101", B"11110011", B"11000001", B"11010001",
1999
 B"11000110", B"00101100", B"00011111", B"11101110", B"01001000",
2000
 B"10111010", B"11111100", B"11000101", B"00001111", B"00100001",
2001
 B"01001101", B"00001000", B"00001000", B"11100111", B"11101111",
2002
 B"11111100", B"00110010", B"00000110", B"00101000", B"00011011",
2003
 B"00000110", B"11001110", B"00100110", B"00111000", B"00110010",
2004
 B"11010000", B"00101100", B"11101111", B"00110110", B"11101110",
2005
 B"00010000", B"00000111", B"11100110", B"11100010", B"01000010",
2006
 B"00100111", B"11001011", B"11101101", B"00010000", B"00100110",
2007
 B"00001100", B"00000000", B"00100110", B"00101110", B"00000111",
2008
 B"00101010", B"11000101", B"00101100", B"11000111", B"00001111",
2009
 B"11111111", B"11001110", B"01001010", B"00001101", B"10100001",
2010
 B"11101010", B"11011011", B"00101100", B"00010110", B"11010100",
2011
 B"00010110", B"11010110", B"00011111", B"11110010", B"11100111",
2012
 B"00100011", B"00001100", B"10110010", B"00100011", B"00011111",
2013
 B"11011111", B"00100111", B"01000001", B"11101010", B"11010111",
2014
 B"10110110", B"11111101", B"11100111", B"11000111", B"00100001",
2015
 B"00011111", B"00101011", B"11111010", B"00100001", B"11000101",
2016
 B"11111100", B"11010011", B"11110010", B"00101011", B"00100010",
2017
 B"00011000", B"00111101", B"11100010", B"00001011", B"11000100",
2018
 B"00000010", B"00110001", B"00101100", B"11011111", B"00011101",
2019
 B"10111100", B"00001111", B"00101101", B"00100101", B"11100111",
2020
 B"00101101", B"00011111", B"00000010", B"11011011", B"11111111",
2021
 B"00100011", B"00110010", B"00011001", B"11001111", B"00011101",
2022
 B"11101011", B"00011101", B"11011100", B"11110011", B"11001110",
2023
 B"10110111", B"00100001", B"10111001", B"11110100", B"11101101",
2024
 B"11010101", B"00101100", B"11010001", B"00101011", B"00011100",
2025
 B"11011010", B"01001101", B"00000101", B"11011101", B"11010001",
2026
 B"00100000", B"11110101", B"11010100", B"11001111", B"00111100",
2027
 B"00010011", B"11110001", B"11111110", B"00100100", B"00100101",
2028
 B"00101111", B"11000101", B"00100001", B"11101111", B"00001110",
2029
 B"11001000", B"11101100", B"10111000", B"11100001", B"00101101",
2030
 B"00110000", B"00010010", B"00011101", B"11010000", B"00100000",
2031
 B"00101001", B"00000010", B"11011101", B"11100100", B"11110110",
2032
 B"11111000", B"00010001", B"00100101", B"01000000", B"00000001",
2033
 B"00011001", B"11001001", B"00100010", B"11111000", B"11000101",
2034
 B"11111010", B"00000000", B"10110101", B"00101011", B"11010011",
2035
 B"11001110", B"00011101", B"00110101", B"00101001", B"00001010",
2036
 B"11110001", B"01001011", B"11101010", B"00010001", B"00010011",
2037
 B"00001001", B"01000011", B"00111100", B"00101011", B"01010001",
2038
 B"00111110", B"00100101", B"00011100", B"00100101", B"11110100",
2039
 B"00010010", B"11100101", B"11101011", B"11100110", B"11111110",
2040
 B"00000010", B"00010110", B"11010001", B"11011111", B"11100101",
2041
 B"11011001", B"00011011", B"11100101", B"00001011", B"11101010",
2042
 B"00010011", B"00110000", B"00010111", B"11110100", B"11001100",
2043
 B"11000101", B"11101010", B"11010001", B"00111000", B"11110110",
2044
 B"11101010", B"11101001", B"00111011", B"01001100", B"11001000",
2045
 B"10111010", B"00001000", B"00011001", B"00110010", B"11110011",
2046
 B"00011110", B"11001011", B"00111010", B"00011010", B"11101100",
2047
 B"11010000", B"11011011", B"11101001", B"00110111", B"11001101",
2048
 B"00000111", B"11101000", B"00001101", B"00010111", B"11001111",
2049
 B"11010011", B"11000000", B"11000010", B"11010101", B"11011111",
2050
 B"00101011", B"00010010", B"00101101", B"11101011", B"00011101",
2051
 B"11000100", B"00100000", B"00011011", B"00000000", B"11110000",
2052
 B"11100000", B"00010100", B"00100100", B"11010000", B"11011011",
2053
 B"11010001", B"11100110", B"00000001", B"00010101", B"00011110",
2054
 B"00001110", B"11010110", B"11110010", B"11001010", B"00111011",
2055
 B"00010011", B"00100001", B"10111110", B"00011011", B"00000010",
2056
 B"00110100", B"11101000", B"11001001", B"11100100", B"11000101",
2057
 B"00011100", B"00110011", B"00101110", B"01011101", B"11111000",
2058
 B"00001001", B"11100111", B"00111101", B"11001110", B"11010100",
2059
 B"11111100", B"00100000", B"00100000", B"11100000", B"11110010",
2060
 B"00000100", B"00000100", B"00101110", B"11101100", B"00100100",
2061
 B"01000100", B"01001000", B"00000101", B"11111101", B"00010101",
2062
 B"11011111", B"00000110", B"11011000", B"00001011", B"11110000",
2063
 B"00001001", B"11110000", B"11110111", B"11101011", B"11111001",
2064
 B"00110111", B"11110011", B"00100101", B"00001110", B"11001001",
2065
 B"11100001", B"11100010", B"11011010", B"11011111", B"00000101",
2066
 B"11000000", B"11100011", B"11011000", B"00101011", B"11111010",
2067
 B"00110011", B"00011000", B"11001011", B"00000111", B"00101000",
2068
 B"11010111", B"00101101", B"11101010", B"11100110", B"00100010",
2069
 B"11101011", B"11101011", B"11100001", B"00111110", B"11010110",
2070
 B"11010000", B"00101101", B"00111101", B"00010111", B"11010110",
2071
 B"00000010", B"00100011", B"00010011", B"00100101", B"00011011",
2072
 B"11100010", B"00011110", B"00100101", B"11010010", B"11111010",
2073
 B"00010100", B"11000111", B"11111000", B"00000000", B"00001110",
2074
 B"11000111", B"00011011", B"10111101", B"11110001", B"11010000",
2075
 B"00101010", B"00011110", B"11010001", B"00101100", B"11101110",
2076
 B"00001011", B"11011100", B"00000011", B"00001100", B"00100001",
2077
 B"00000101", B"00100100", B"11101001", B"11100000", B"00010000",
2078
 B"00010010", B"01011000", B"11111001", B"01000000", B"11100000",
2079
 B"00011000", B"00101001", B"00000111", B"11111111", B"00111011",
2080
 B"11011110", B"11001110", B"11011011", B"00010001", B"00110010",
2081
 B"11001110", B"00101100", B"11010110", B"01000010", B"00001100",
2082
 B"11111011", B"11111000", B"00100111", B"11011111", B"11001011",
2083
 B"11100001", B"11011000", B"11000011", B"00000101", B"00101101",
2084
 B"11100110", B"11100001", B"10111010", B"11100011", B"11000101",
2085
 B"11100101", B"10110000", B"00000111", B"00001100", B"00010111",
2086
 B"00011001", B"11010110", B"11011111", B"00000011", B"00011101",
2087
 B"00101110", B"00001101", B"10101101", B"00110001", B"11101010",
2088
 B"00000101", B"10110010", B"11000000", B"00100000", B"11101100",
2089
 B"11101000", B"00100110", B"11010011", B"11010111", B"00011110",
2090
 B"01001100", B"11010000", B"11010001", B"00100111", B"01000001",
2091
 B"11110001", B"10101100", B"11100100", B"00111101", B"00011000",
2092
 B"11001011", B"11100100", B"11111010", B"10111101", B"10111000",
2093
 B"01010010", B"00101010", B"11001101", B"11011100", B"00011010",
2094
 B"00010100", B"00000001", B"11101111", B"00000101", B"11010110",
2095
 B"00110001", B"11011011", B"00110011", B"11100110", B"11100111",
2096
 B"00110111", B"11011111", B"11001010", B"00001011", B"00010010",
2097
 B"00100011", B"01000100", B"11011010", B"11010100", B"00010001",
2098
 B"00011100", B"00110100", B"00101000", B"00010101", B"00010100",
2099
 B"00010101", B"00011101", B"10101001", B"00100101", B"10111111",
2100
 B"01001100", B"10111011", B"00000001", B"00010110", B"11111100",
2101
 B"11011001", B"00100010", B"01000010", B"00100110", B"11010011",
2102
 B"00110111", B"11001011", B"00100001", B"11100000", B"00011101",
2103
 B"00111010", B"11010011", B"11011100", B"11010001", B"11100001",
2104
 B"11101101", B"11110110", B"11000011", B"11001000", B"00010111",
2105
 B"11011101", B"00101101", B"11011101", B"00100010", B"11000101",
2106
 B"00101101", B"00100111", B"11100001", B"11011001", B"11111000",
2107
 B"10101111", B"11000010", B"11100011", B"11111101", B"00000110",
2108
 B"00011011", B"00111110", B"01001000", B"11110100", B"11101111",
2109
 B"01000001", B"00011000", B"00000011", B"00011110", B"00001100",
2110
 B"00010110", B"00000010", B"00000000", B"00111111", B"11010110",
2111
 B"00011010", B"11010011", B"10111000", B"11100100", B"00110111",
2112
 B"00110101", B"11110111", B"11100000", B"00111010", B"11010010",
2113
 B"11000101", B"11101001", B"00001100", B"00101000", B"00001010",
2114
 B"00000001", B"10111111", B"00010101", B"00011110", B"11000100",
2115
 B"11100010", B"00111100", B"11000111", B"00010000", B"11000110",
2116
 B"10111111", B"11011110", B"11111100", B"01100000", B"11110001",
2117
 B"00001100", B"00000011", B"01000010", B"11010101", B"10110011",
2118
 B"11000001", B"00010110", B"00010010", B"11010111", B"11111100",
2119
 B"00100001", B"00111101", B"00011000", B"01000011", B"11100101",
2120
 B"11101101", B"00011101", B"11110001", B"00001100", B"11100001",
2121
 B"11001011", B"10111110", B"00011000", B"00101010", B"11100011",
2122
 B"00101111", B"00001101", B"00000100", B"11010010", B"11111101",
2123
 B"10111100", B"11001101", B"11011011", B"00110100", B"11001010",
2124
 B"00100100", B"11001110", B"10111101", B"00011001", B"00100000",
2125
 B"11111001", B"00110111", B"00010011", B"00010110", B"00000101",
2126
 B"00100011", B"11010101", B"00101000", B"11000110", B"11001101",
2127
 B"00111100", B"00010000", B"00011100", B"00101001", B"10110101",
2128
 B"10111101", B"11010100", B"00000111", B"00101010", B"01100010",
2129
 B"11101101", B"00100001", B"11010001", B"00111010", B"11100100",
2130
 B"11100101", B"00100100", B"11110000", B"00101010", B"11001100",
2131
 B"11010101", B"00111000", B"10111000", B"11110100", B"00100100",
2132
 B"11100001", B"11101100", B"00001101", B"11111101", B"01001101",
2133
 B"00110010", B"00101111", B"01000001", B"11100001", B"00011111",
2134
 B"11101001", B"00011011", B"11011000", B"00100010", B"10011011",
2135
 B"11100111", B"00010101", B"10110111", B"11000000", B"11011111",
2136
 B"11011101", B"00111110", B"11111010", B"11001100", B"00100011",
2137
 B"11001101", B"11010110", B"00001001", B"11110000", B"00010000",
2138
 B"00000000", B"11111010", B"11010100", B"11010110", B"00110001",
2139
 B"00010010", B"11100011", B"11110011", B"00110110", B"10111000",
2140
 B"00101111", B"11101111", B"11110000", B"00100110", B"00000111",
2141
 B"00111001", B"00011111", B"11011011", B"00100110", B"00111101",
2142
 B"10011011", B"11011110", B"00000101", B"11011010", B"11100101",
2143
 B"00011110", B"00011110", B"00100101", B"01010000", B"11010110",
2144
 B"11000100", B"00110001", B"10111110", B"00001110", B"00000000",
2145
 B"11100101", B"00101100", B"11011110", B"00100101", B"00010110",
2146
 B"11111010", B"00010011", B"00010110", B"00001111", B"11010011",
2147
 B"11100000", B"00000001", B"11111111", B"11110110", B"11100011",
2148
 B"00011110", B"11110000", B"11100000", B"11000110", B"11011100",
2149
 B"00011100", B"00010111", B"11111001", B"11010100", B"00100001",
2150
 B"11100010", B"00011111", B"11001011", B"01001011", B"00100011",
2151
 B"11101110", B"00101011", B"00010111", B"11010101", B"11110010",
2152
 B"00011111", B"11110001", B"11010111", B"11100011", B"11000000",
2153
 B"00000010", B"00110111", B"00010011", B"00100111", B"00110010",
2154
 B"11011011", B"11101000", B"01000000", B"11101000", B"11001000",
2155
 B"11001010", B"10101100", B"00011110", B"11010111", B"00011110",
2156
 B"11001101", B"11101101", B"11001101", B"00100010", B"00100011",
2157
 B"11101110", B"10111000", B"11101000", B"11011000", B"00101010",
2158
 B"00100110", B"00001111", B"00010100", B"00110101", B"00101100",
2159
 B"11000110", B"00000100", B"00101011", B"00010001", B"11011101",
2160
 B"11110111", B"11100100", B"11100010", B"11101000", B"11101111",
2161
 B"11110100", B"11101001", B"00011100", B"11001011", B"00111101",
2162
 B"01000000", B"00111100", B"00011001", B"11111101", B"00011001",
2163
 B"00001110", B"11111110", B"10101000", B"01000010", B"11111010",
2164
 B"00010110", B"00001101", B"11100001", B"00001001", B"11111000",
2165
 B"00001111", B"11110011", B"11101011", B"00010001", B"10110100",
2166
 B"11011001", B"11010011", B"10111100", B"11000111", B"11011000",
2167
 B"00110100", B"00000110", B"00110011", B"11000100", B"00001100",
2168
 B"11111100", B"00001010", B"00011111", B"11010010", B"00011011",
2169
 B"00110011", B"00001000", B"11100010", B"11000100", B"11111100",
2170
 B"00101011", B"11110101", B"00111111", B"11010000", B"11100011",
2171
 B"00011010", B"00101011", B"11110101", B"11010101", B"11110110",
2172
 B"11010000", B"11110000", B"00100111", B"11110001", B"00011010",
2173
 B"00010100", B"00011110", B"00111111", B"00111010", B"00010000",
2174
 B"11111101", B"00001100", B"00100110", B"00100110", B"11100001",
2175
 B"11111001", B"00111110", B"10111110", B"11011001", B"11100010",
2176
 B"11110110", B"00110110", B"00001110", B"11011000", B"00100000",
2177
 B"00010001", B"11111101", B"11110011", B"00000110", B"00111100",
2178
 B"00101001", B"00100001", B"11100100", B"00000010", B"11100111",
2179
 B"00011110", B"11011110", B"00010001", B"11011010", B"01000000",
2180
 B"00100110", B"11011101", B"00100110", B"00101001", B"11001000",
2181
 B"11011000", B"11111110", B"11100110", B"11100101", B"11011011",
2182
 B"00100001", B"00101000", B"11010000", B"00100100", B"00010001",
2183
 B"11111000", B"00000100", B"00011010", B"00110001", B"00010100",
2184
 B"10101101", B"00001111", B"11001000", B"11000101", B"11110111",
2185
 B"11101000", B"00010010", B"11000100", B"00111010", B"00011011",
2186
 B"00000001", B"00101001", B"00101101", B"11100110", B"00011000",
2187
 B"00100011", B"11100010", B"11011101", B"00010000", B"10101000",
2188
 B"00011100", B"11010101", B"11000101", B"01001010", B"00101001",
2189
 B"00010010", B"11101110", B"11001001", B"11010000", B"11011100",
2190
 B"00011000", B"11101001", B"11111101", B"10101111", B"11010011",
2191
 B"11101100", B"00100111", B"00100101", B"11100110", B"00011111",
2192
 B"11100100", B"11111011", B"11011110", B"00011110", B"00111011",
2193
 B"01000001", B"00110010", B"11100001", B"00000110", B"10111010",
2194
 B"11001100", B"11000111", B"00110101", B"00100110", B"00000001",
2195
 B"00111001", B"11011010", B"11011111", B"00001111", B"00101010",
2196
 B"11100000", B"00001111", B"11100001", B"11111001", B"00101110",
2197
 B"00011011", B"01000111", B"11001111", B"11010011", B"00100100",
2198
 B"00011100", B"10110010", B"11011000", B"00110100", B"10111110",
2199
 B"11100000", B"11111111", B"11000011", B"00011110", B"11000010",
2200
 B"00011011", B"00101011", B"00100010", B"11011111", B"01001101",
2201
 B"00001011", B"11100100", B"10111010", B"00111110", B"01010111",
2202
 B"00100100", B"00011010", B"00101000", B"00001010", B"00010011",
2203
 B"00010000", B"01010110", B"10101100", B"11101101", B"11011101",
2204
 B"10111000", B"00010001", B"11110100", B"00011011", B"11111011",
2205
 B"00100101", B"00010001", B"11111010", B"00101000", B"00011111",
2206
 B"11100010", B"00010111", B"00000111", B"11111110", B"11000111",
2207
 B"00110010", B"00101100", B"00101100", B"11111000", B"11100011",
2208
 B"11011000", B"00010100", B"11010011", B"11110011", B"00110100",
2209
 B"11110011", B"11001111", B"11111110", B"11100100", B"01010111",
2210
 B"00010110", B"11111000", B"00011001", B"00010110", B"11111001",
2211
 B"11111111", B"11101010", B"00010101", B"00100111", B"00101100",
2212
 B"00110010", B"00011011", B"00011011", B"00000010", B"00000110",
2213
 B"00010010", B"01000010", B"00001110", B"00111011", B"00011001",
2214
 B"00011110", B"00110011", B"00001000", B"10110110", B"01000011",
2215
 B"00001011", B"00000111", B"11001010", B"11100100", B"00011010",
2216
 B"00111000", B"10101101", B"11010100", B"00001111", B"11101111",
2217
 B"11111111", B"11010100", B"11100110", B"00011000", B"00110010",
2218
 B"11001100", B"01000010", B"00111010", B"00010100", B"00100101",
2219
 B"00111100", B"11101101", B"11110100", B"11011000", B"11100111",
2220
 B"00000110", B"00000110", B"11111101", B"11101011", B"00011101",
2221
 B"00100010", B"00100111", B"00111010", B"11011011", B"00110100",
2222
 B"11010011", B"11111000", B"11110111", B"11111011", B"00010011",
2223
 B"11011101", B"00010100", B"11101101", B"11101000", B"00101000",
2224
 B"00011011", B"00101000", B"00011110", B"00110100", B"00001111",
2225
 B"00110010", B"00010010", B"00101111", B"00101001", B"00011001",
2226
 B"00101000", B"11100110", B"11100110", B"00101101", B"00010010",
2227
 B"11101010", B"11110101", B"11100000", B"00100011", B"10101111",
2228
 B"11111010", B"11101110", B"11110110", B"00010001", B"11001000",
2229
 B"11100110", B"00100001", B"11001101", B"00101111", B"11011110",
2230
 B"11101010", B"01001001", B"00011100", B"11010000", B"11001010",
2231
 B"01000001", B"00010000", B"00010111", B"00000100", B"11110110",
2232
 B"11000101", B"11011111", B"00000000", B"00110001", B"00010110",
2233
 B"10111101", B"00110110", B"11111111", B"00010011", B"00001010",
2234
 B"00010010", B"00101001", B"11001000", B"11011001", B"11101011",
2235
 B"00001010", B"00011110", B"11001100", B"10111001", B"11011001",
2236
 B"00111001", B"00100000", B"11010111", B"00001100", B"10111010",
2237
 B"11011011", B"11100100", B"00001111", B"11001010", B"11110011",
2238
 B"11010100", B"11011101", B"00010010", B"11100101", B"00100001",
2239
 B"00100011", B"11100001", B"11010000", B"11010110", B"11001011",
2240
 B"11100011", B"11010100", B"11110010", B"11110011", B"00011111",
2241
 B"00100111", B"11010000", B"01000111", B"11001111", B"11011001",
2242
 B"11011001", B"11110101", B"11011001", B"00100100", B"11110010",
2243
 B"00110100", B"00001000", B"11011011", B"11110100", B"11000111",
2244
 B"00101011", B"00100111", B"11010100", B"00111001", B"10111100",
2245
 B"00111110", B"10111111", B"10111100", B"11110011", B"11100110",
2246
 B"00101001", B"10111001", B"00101100", B"11001011", B"11100000",
2247
 B"00011011", B"11010000", B"00010100", B"11011111", B"11100011",
2248
 B"01001111", B"11100100", B"00110111", B"00000001", B"00100011",
2249
 B"11011010", B"11010111", B"00011101", B"01000011", B"10111111",
2250
 B"00011001", B"00011100", B"11110010", B"11100000", B"11110000",
2251
 B"11011101", B"11010101", B"11011010", B"01000000", B"11010011",
2252
 B"00010010", B"00010001", B"11011101", B"00010011", B"00010011",
2253
 B"11101001", B"11101010", B"11011101", B"11110000", B"01000101",
2254
 B"00101111", B"00000011", B"11100001", B"00101011", B"11010111",
2255
 B"10110101", B"11110100", B"11001100", B"00011011", B"11001010",
2256
 B"00101010", B"00101101", B"11001101", B"11110101", B"00010001",
2257
 B"10111011", B"11111110", B"11101110", B"11011001", B"11011100",
2258
 B"00100001", B"11110010", B"00000100", B"11101011", B"00010101",
2259
 B"11001001", B"00011110", B"11101001", B"00111010", B"11101001",
2260
 B"00101110", B"11011110", B"00011010", B"00110000", B"00011110",
2261
 B"00110101", B"00001100", B"00001000", B"10111110", B"11101010",
2262
 B"11010001", B"00110010", B"00111111", B"11101111", B"11010011",
2263
 B"11101001", B"00011010", B"00010111", B"11101000", B"00011110",
2264
 B"11001001", B"11001101", B"11110010", B"11010001", B"00101011",
2265
 B"11001111", B"11010011", B"01000001", B"00100000", B"00101110",
2266
 B"00100110", B"00001110", B"00111111", B"00110000", B"00010010",
2267
 B"00101110", B"00011101", B"00010001", B"11101110", B"11100110",
2268
 B"11100011", B"11110111", B"00111011", B"11011001", B"00101111",
2269
 B"00101001", B"11011010", B"00010110", B"00010000", B"11010101",
2270
 B"11011110", B"11101010", B"11101011", B"11001111", B"11011000",
2271
 B"11100010", B"11001011", B"00101111", B"00011111", B"00000100",
2272
 B"00011111", B"11011100", B"00110011", B"00011000", B"11111011",
2273
 B"10111001", B"01001101", B"11111011", B"00010001", B"11001011",
2274
 B"00110001", B"00000010", B"11101011", B"11101100", B"11110100",
2275
 B"10111010", B"11011111", B"00100001", B"11101101", B"11111111",
2276
 B"00100000", B"11010001", B"11010100", B"11001011", B"10111111",
2277
 B"00000110", B"11001101", B"00000100", B"11000101", B"11101001",
2278
 B"11101111", B"11110001", B"00100100", B"00100001", B"00010100",
2279
 B"11110010", B"00000101", B"00111111", B"11001011", B"11000011",
2280
 B"00010100", B"11011010", B"00111011", B"10100110", B"00001011",
2281
 B"11001010", B"00110010", B"00011001", B"11010100", B"11110010",
2282
 B"11010010", B"10110111", B"00000111", B"00010100", B"00100110",
2283
 B"00001101", B"00010010", B"00011111", B"00100101", B"00110100",
2284
 B"00010001", B"00010100", B"01000001", B"00101101", B"00000011",
2285
 B"11010110", B"10101011", B"00111100", B"01001010", B"11001110",
2286
 B"00110001", B"11111100", B"11100111", B"00101101", B"11101110",
2287
 B"00001100", B"11100011", B"11010110", B"11110101", B"00101010",
2288
 B"00001011", B"00110010", B"10111110", B"00011110", B"11010111",
2289
 B"11110110", B"11111011", B"00101101", B"00100000", B"11100101",
2290
 B"11111001", B"00100011", B"10101010", B"00001111", B"11100111",
2291
 B"11010110", B"11011001", B"00011010", B"11010100", B"11000011",
2292
 B"00000100", B"00010010", B"00000111", B"00000000", B"11110010",
2293
 B"00100011", B"11010000", B"11010101", B"11011101", B"11001000",
2294
 B"00101111", B"11001100", B"00011010", B"00010000", B"11100001",
2295
 B"01000111", B"10110110", B"11001110", B"11011111", B"01000011",
2296
 B"00110011", B"00001000", B"00010111", B"00000100", B"11001111",
2297
 B"00011011", B"00101100", B"11011000", B"11000001", B"00111011",
2298
 B"11010101", B"00100010", B"11101011", B"11000011", B"11101101",
2299
 B"00010101", B"11110011", B"00101110", B"00100011", B"10111111",
2300
 B"11110001", B"00000100", B"00111010", B"00000010", B"11101010",
2301
 B"00110100", B"00011111", B"11011011", B"11010110", B"00110110",
2302
 B"00010011", B"11000100", B"11011110", B"00000110", B"00011110",
2303
 B"00001000", B"11110011", B"11111111", B"00001010", B"00001010",
2304
 B"00000110", B"11011101", B"11001111", B"00100100", B"11110111",
2305
 B"11110000", B"00001000", B"11100011", B"00110001", B"11000011",
2306
 B"00011000", B"11110001", B"00010110", B"11101010", B"00111001",
2307
 B"00110010", B"11100111", B"11111111", B"00011100", B"00110101",
2308
 B"00100011", B"11101101", B"11101100", B"11100001", B"00011000",
2309
 B"00101111", B"11011101", B"00000100", B"11110000", B"11011011",
2310
 B"00011111", B"11010001", B"00111010", B"00000001", B"00110001",
2311
 B"11101110", B"00100001", B"00001111", B"11100011", B"00011101",
2312
 B"00010111", B"11010101", B"11101011", B"00000110", B"00010010",
2313
 B"00110011", B"00110111", B"11101011", B"11000100", B"11101010",
2314
 B"10101110", B"11100001", B"00101000", B"00011100", B"11100111",
2315
 B"01011100", B"00000111", B"00110111", B"11010100", B"00001101",
2316
 B"00010101", B"11110010", B"11110000", B"00100111", B"10111101",
2317
 B"00011011", B"11111000", B"11101000", B"11011110", B"00011011",
2318
 B"00110111", B"11110111", B"11101110", B"11010000", B"11011001",
2319
 B"11110001", B"00100110", B"00110000", B"11110100", B"00010101",
2320
 B"11010111", B"11001101", B"00011100", B"00110101", B"10111101",
2321
 B"11101101", B"11101101", B"00001101", B"10111101", B"11100011",
2322
 B"00011110", B"11111100", B"11011100", B"11110110", B"00111101",
2323
 B"00011111", B"11001111", B"11111100", B"11011011", B"00001111",
2324
 B"01010011", B"11101010", B"01000010", B"00100001", B"11111101",
2325
 B"11111000", B"00110001", B"11100010", B"00100111", B"11101100",
2326
 B"11010101", B"11111101", B"00000111", B"11101100", B"11111111",
2327
 B"00000001", B"00101010", B"11110010", B"11011110", B"00000111",
2328
 B"00111101", B"00011000", B"11010001", B"00001111", B"00000110",
2329
 B"11110011", B"11000111", B"00011110", B"00011111", B"11001101",
2330
 B"00101101", B"00000001", B"11101000", B"11010111", B"00101000",
2331
 B"11110101", B"11100100", B"00111011", B"11001101", B"00110000",
2332
 B"11001101", B"11011001", B"11100010", B"00100000", B"00100101",
2333
 B"11011110", B"00001010", B"11011001", B"11000111", B"11011100",
2334
 B"00100101", B"00110010", B"00011000", B"00110100", B"11010110",
2335
 B"00011001", B"00001100", B"11100011", B"00111011", B"00001100",
2336
 B"11010110", B"00001100", B"11111101", B"11101001", B"00100010",
2337
 B"11100011", B"11011111", B"00000111", B"10111110", B"00110110",
2338
 B"11000000", B"11101100", B"11111110", B"10001111", B"00000000",
2339
 B"11111100", B"11010010", B"11001110", B"00011100", B"11110111",
2340
 B"00100111", B"11011110", B"00101010", B"11001101", B"00010001",
2341
 B"00001010", B"00011001", B"11111110", B"00011111", B"11011011",
2342
 B"11111000", B"11001010", B"00001010", B"11000100", B"11000011",
2343
 B"11011000", B"10110010", B"00010001", B"00100101", B"01000010",
2344
 B"00000111", B"00001001", B"00100110", B"11011101", B"00001111",
2345
 B"00000110", B"11011000", B"11101111", B"11101001", B"11010110",
2346
 B"11001011", B"11100101", B"11010001", B"00100010", B"00101001",
2347
 B"01000100", B"00110001", B"11010101", B"01001000", B"11011100",
2348
 B"11111101", B"11000110", B"00110001", B"00100011", B"10111101",
2349
 B"11001010", B"00010011", B"00011111", B"00101110", B"11111011",
2350
 B"00101011", B"11010010", B"00000110", B"00100010", B"00100011",
2351
 B"00100101", B"00101110", B"00010001", B"01000010", B"00110111",
2352
 B"00000101", B"00100011", B"11100011", B"00001011", B"00100000",
2353
 B"11110110", B"00000011", B"00001101", B"11110010", B"00110111",
2354
 B"11101011", B"11101000", B"00010001", B"11110101", B"11111111",
2355
 B"10110111", B"11001110", B"00011101", B"00101010", B"01000111",
2356
 B"11010110", B"00011001", B"11001110", B"00000010", B"11001101",
2357
 B"00111110", B"00011010", B"11011001", B"00011100", B"00011000",
2358
 B"11111101", B"11111111", B"00100100", B"00111111", B"11111000",
2359
 B"11111010", B"00000111", B"11101011", B"11100101", B"00010011",
2360
 B"11101101", B"00101011", B"11110010", B"11001001", B"00000101",
2361
 B"11110111", B"11101010", B"10111110", B"11000110", B"00111010",
2362
 B"11010001", B"00101100", B"01001010", B"11101001", B"11111100",
2363
 B"00010110", B"11011100", B"11110010", B"11011011", B"11010000",
2364
 B"10111000", B"00101001", B"00011000", B"00110101", B"00100000",
2365
 B"00101100", B"11011011", B"11011000", B"00100100", B"00001001",
2366
 B"11100000", B"11100100", B"11001110", B"00010111", B"00001101",
2367
 B"00110100", B"11010000", B"00001010", B"11011011", B"01000001",
2368
 B"11011010", B"00011101", B"00101100", B"11011101", B"00100001",
2369
 B"00000111", B"11010111", B"11101101", B"01000000", B"11001100",
2370
 B"01010010", B"00010111", B"11110111", B"10111011", B"11100110",
2371
 B"00000010", B"10111010", B"11111010", B"00100011", B"11101101",
2372
 B"10111001", B"01000100", B"00101101", B"11110010", B"11001111",
2373
 B"00110001", B"00010011", B"00000001", B"11100101", B"00111011",
2374
 B"01010001", B"11000111", B"11010111", B"00011010", B"11100111",
2375
 B"00100010", B"01000110", B"11001111", B"01011101", B"00010001",
2376
 B"11001100", B"00010111", B"11001001", B"10111111", B"10011111",
2377
 B"00001110", B"00011111", B"11101100", B"00011000", B"00111101",
2378
 B"11010100", B"00100010", B"00111000", B"11000010", B"01010110",
2379
 B"01010101", B"11100111", B"11100010", B"00000101", B"11011110",
2380
 B"11110111", B"00011100", B"00011000", B"01001010", B"00101010",
2381
 B"00000011", B"11010100", B"00000110", B"00010000", B"00000000",
2382
 B"00101100", B"00010001", B"11011011", B"01000000", B"11111111",
2383
 B"00100100", B"10111001", B"11000000", B"11001001", B"11100010",
2384
 B"00011100", B"00111011", B"11011111", B"00110010", B"00110011",
2385
 B"11010110", B"00100010", B"00011101", B"00011001", B"00010110",
2386
 B"01000010", B"00001010", B"00100111", B"11110100", B"00111111",
2387
 B"11111000", B"00101111", B"00100011", B"00010110", B"11110101",
2388
 B"11000101", B"00000000", B"00010010", B"11101010", B"11010011",
2389
 B"11010100", B"00100001", B"00010101", B"00001001", B"11111100",
2390
 B"11101101", B"11000111", B"00000111", B"00110000", B"00001111",
2391
 B"11111011", B"11100001", B"00100011", B"00111011", B"00000010",
2392
 B"11000101", B"00111100", B"11010111", B"11101010", B"00010100",
2393
 B"11100011", B"11111110", B"11100001", B"11110010", B"11100010",
2394
 B"01001101", B"00101101", B"11101010", B"11101001", B"11011101",
2395
 B"00000001", B"11001111", B"11111001", B"11010001", B"01001100",
2396
 B"10101111", B"11011011", B"10011011", B"00000001", B"11111011",
2397
 B"11100110", B"11011110", B"11110110", B"11110011", B"11011011",
2398
 B"11010100", B"11011011", B"11110111", B"00011011", B"00101011",
2399
 B"00011001", B"01000100", B"00001011", B"00110011", B"11100110",
2400
 B"00001100", B"11000010", B"00100001", B"11000110", B"11110010",
2401
 B"11011001", B"00001111", B"00111011", B"11010010", B"00111001",
2402
 B"00001001", B"11110010", B"00010000", B"11111010", B"11010101",
2403
 B"11100001", B"00011110", B"00010100", B"00111010", B"00011101",
2404
 B"00100110", B"00101110", B"00110110", B"00101011", B"00010110",
2405
 B"11111101", B"11111001", B"11101001", B"00011001", B"00111110",
2406
 B"11110000", B"11100000", B"00010000", B"00001110", B"00011001",
2407
 B"11010111", B"00101010", B"00011001", B"11000100", B"11011111",
2408
 B"00010111", B"11110111", B"11101011", B"11011000", B"00011000",
2409
 B"00101001", B"00000100", B"00100001", B"11000010", B"01001001",
2410
 B"11111111", B"00000100", B"00001010", B"11101100", B"01001110",
2411
 B"00110100", B"10110001", B"00001011", B"00011110", B"11100100",
2412
 B"00101011", B"00000000", B"11011100", B"11100101", B"00000011",
2413
 B"00010101", B"11001011", B"00010000", B"00100110", B"11111001",
2414
 B"00101000", B"00001110", B"11110100", B"00011101", B"11100000",
2415
 B"10110001", B"00100011", B"11101001", B"10111101", B"11101111",
2416
 B"11001001", B"11110000", B"00100010", B"00000111", B"11111011",
2417
 B"11011011", B"11011011", B"11110001", B"11111000", B"10111111",
2418
 B"11100101", B"00010111", B"11101001", B"00110000", B"11000001",
2419
 B"00000000", B"01000011", B"11011101", B"00100000", B"11001110",
2420
 B"00000011", B"11100100", B"11001010", B"00010011", B"00101001",
2421
 B"00000010", B"11001110", B"10101111", B"11110010", B"00100010",
2422
 B"01000100", B"00001001", B"00001011", B"11101001", B"11111000",
2423
 B"00111000", B"11100010", B"11111101", B"11011011", B"11000110",
2424
 B"00110011", B"00100100", B"11011111", B"11000000", B"00000110",
2425
 B"11111010", B"10111101", B"11100010", B"00000110", B"00000100",
2426
 B"11001011", B"00000001", B"00001111", B"10100010", B"00100000",
2427
 B"00101101", B"11010100", B"11111110", B"11011101", B"11010001",
2428
 B"11101001", B"11110010", B"00101000", B"11100011", B"00010100",
2429
 B"00001010", B"11110010", B"11011110", B"11101011", B"10111001",
2430
 B"00010000", B"11110011", B"11111101", B"11101110", B"00110110",
2431
 B"11111111", B"00011111", B"11100001", B"00000110", B"11100000",
2432
 B"00100111", B"00111010", B"11100010", B"10110110", B"00000011",
2433
 B"11001101", B"00101001", B"11110011", B"11111100", B"11101001",
2434
 B"00101010", B"00001011", B"00010110", B"11010000", B"00011010",
2435
 B"11001110", B"00001110", B"00001011", B"00111011", B"11001000",
2436
 B"00001000", B"00000011", B"11000011", B"10111001", B"01000001",
2437
 B"00001001", B"11011001", B"10111101", B"01001011", B"11111011",
2438
 B"11001011", B"11001001", B"11010010", B"00000111", B"00110101",
2439
 B"00100010", B"01000110", B"11110110", B"00101111", B"11100011",
2440
 B"00001111", B"11110011", B"00110011", B"00011010", B"11110001",
2441
 B"11011100", B"11100011", B"11110110", B"11101101", B"00011110",
2442
 B"00000110", B"11101010", B"11100101", B"00001000", B"00001001",
2443
 B"10111010", B"11001011", B"11100011", B"11001101", B"00101011",
2444
 B"00111001", B"00101011", B"00110010", B"11001011", B"11100100",
2445
 B"00000010", B"00001001", B"11011110", B"00101100", B"00111110",
2446
 B"11100010", B"11001100", B"01001010", B"11010110", B"00101111",
2447
 B"11101011", B"00001000", B"00011000", B"11001101", B"11100000",
2448
 B"01010010", B"00001001", B"11100101", B"11001000", B"11011000",
2449
 B"00111111", B"00011001", B"11111110", B"00011111", B"00010000",
2450
 B"00010101", B"11110101", B"11010010", B"00101111", B"00101100",
2451
 B"11011001", B"11100010", B"00011000", B"00101101", B"00001010",
2452
 B"00011010", B"00011001", B"00111101", B"11101100", B"00001101",
2453
 B"11010111", B"11011111", B"11101111", B"11100010", B"00100011",
2454
 B"00110101", B"00001001", B"00000101", B"11001010", B"11000110",
2455
 B"00001100", B"00011001", B"11111111", B"00011111", B"10110010",
2456
 B"11110001", B"00001000", B"00010001", B"01000011", B"11101000",
2457
 B"00000011", B"11110000", B"00100101", B"11100000", B"11100010",
2458
 B"00001110", B"01001001", B"00101011", B"11110110", B"00010111",
2459
 B"11101000", B"11100101", B"00010111", B"11101100", B"00001010",
2460
 B"11010111", B"00011011", B"11010101", B"00100100", B"11100110",
2461
 B"11000010", B"00010010", B"00110011", B"00011000", B"11100010",
2462
 B"00111000", B"11100111", B"00111000", B"00001000", B"11011101",
2463
 B"11010011", B"00110001", B"11011010", B"11100100", B"11101000",
2464
 B"00011110", B"11100000", B"00111010", B"11001110", B"00100010",
2465
 B"11001111", B"11110000", B"00011001", B"00111100", B"00011101",
2466
 B"10110111", B"11010100", B"11110100", B"00011010", B"00001111",
2467
 B"00010011", B"00011110", B"00000011", B"00000100", B"00010100",
2468
 B"00011010", B"11110110", B"00100001", B"00100010", B"11100100",
2469
 B"00100110", B"10110100", B"11000100", B"11010110", B"11010111",
2470
 B"00111000", B"11110110", B"00011001", B"00110001", B"00011000",
2471
 B"11101101", B"00110101", B"00010101", B"11101100", B"11101000",
2472
 B"01001000", B"00100011", B"00000010", B"11001101", B"11010111",
2473
 B"11110101", B"00100110", B"11100101", B"00000011", B"11011001",
2474
 B"01100010", B"00110001", B"00000001", B"11100000", B"11110001",
2475
 B"00000001", B"11010100", B"00110000", B"10100101", B"11111100",
2476
 B"00010110", B"11011100", B"01011000", B"01000000", B"10101100",
2477
 B"00100001", B"11111110", B"11110100", B"11111001", B"00010100",
2478
 B"11111011", B"00010111", B"11110010", B"11110100", B"00011010",
2479
 B"00100000", B"00011011", B"11100100", B"00111000", B"11101100",
2480
 B"01010111", B"00011101", B"11101100", B"00010110", B"00010010",
2481
 B"11100110", B"00111010", B"00101010", B"11010010", B"11111000",
2482
 B"11000010", B"11010111", B"00111001", B"01010011", B"11100001",
2483
 B"00101001", B"11010110", B"00100001", B"00011110", B"11100010",
2484
 B"00100111", B"00101001", B"11010011", B"10101100", B"00111101",
2485
 B"00001111", B"11011101", B"11010110", B"00010010", B"00010010",
2486
 B"11100110", B"00010111", B"11011001", B"00100111", B"11110100",
2487
 B"11111001", B"00100011", B"10111101", B"11110110", B"00000001",
2488
 B"11010101", B"11111111", B"00100000", B"00001100", B"00000101",
2489
 B"00000000", B"00011000", B"11100100", B"00101011", B"00001100",
2490
 B"11011010", B"11111001", B"00001010", B"00001010", B"11010000",
2491
 B"11000101", B"11001011", B"00010011", B"11010011", B"00011001",
2492
 B"11011110", B"11010111", B"11111001", B"11011010", B"00010101",
2493
 B"00001100", B"11101011", B"11111001", B"00010111", B"11100101",
2494
 B"11010110", B"11101101", B"11001000", B"00110011", B"00110110",
2495
 B"00010001", B"11111011", B"00011001", B"11101101", B"11111011",
2496
 B"11110011", B"11111100", B"11011011", B"11101100", B"00101010",
2497
 B"10111011", B"11100010", B"11110100", B"10100001", B"00101111",
2498
 B"00011101", B"00100110", B"11010101", B"00001011", B"11010000",
2499
 B"00010110", B"11100101", B"11011010", B"11100010", B"00011111",
2500
 B"11101110", B"00101000", B"11110100", B"11011010", B"00110111",
2501
 B"11111011", B"00100001", B"00000001", B"00111011", B"11111111",
2502
 B"00110101", B"00000100", B"01000101", B"00000111", B"00001000",
2503
 B"11110000", B"11101000", B"00011100", B"00110001", B"11110000",
2504
 B"00111110", B"11011000", B"00110101", B"00100111", B"11000010",
2505
 B"10110010", B"11101110", B"11011011", B"11111110", B"01000001",
2506
 B"00011111", B"11010101", B"11100111", B"00100010", B"00010111",
2507
 B"11010001", B"11110101", B"00011010", B"00111101", B"11001110",
2508
 B"11101000", B"00110010", B"00101011", B"11101010", B"11101110",
2509
 B"11010000", B"00101001", B"11110000", B"11101100", B"11011010",
2510
 B"00100100", B"00010011", B"11100110", B"11101101", B"11000100",
2511
 B"11001110", B"11010100", B"00110110", B"00011011", B"00010100",
2512
 B"00010101", B"00001001", B"11110001", B"00001111", B"01000010",
2513
 B"00011111", B"11111001", B"11111000", B"11110000", B"11010010",
2514
 B"00010001", B"00010101", B"11101111", B"11011100", B"11101110",
2515
 B"11001000", B"11001100", B"11100000", B"10101111", B"00010100",
2516
 B"11110110", B"00010111", B"11011110", B"11001101", B"00111100",
2517
 B"11101110", B"11110010", B"11101100", B"00001001", B"00000000",
2518
 B"00110010", B"11111010", B"00011100", B"00100001", B"00100001",
2519
 B"11100101", B"00111110", B"00000000", B"11011010", B"11110101",
2520
 B"00110110", B"11101011", B"11110011", B"00100001", B"11100101",
2521
 B"00010011", B"11101011", B"11001011", B"00010010", B"00011110",
2522
 B"00010101", B"10110101", B"00000010", B"00111110", B"10100100",
2523
 B"11010100", B"00110001", B"11011010", B"00011100", B"11101011",
2524
 B"00110111", B"11000111", B"00010000", B"01010010", B"00110100",
2525
 B"00111001", B"11110110", B"00111011", B"00001101", B"11001111",
2526
 B"00100111", B"00011001", B"11100001", B"11001110", B"00010110",
2527
 B"00101011", B"00101111", B"10111010", B"00101110", B"11000000",
2528
 B"00000001", B"00010001", B"00100010", B"11111100", B"00011110",
2529
 B"01000001", B"00111111", B"00010010", B"11111011", B"00100100",
2530
 B"00001100", B"00001001", B"00101100", B"00100100", B"00100001",
2531
 B"00100001", B"00000100", B"11100010", B"11111011", B"00011010",
2532
 B"00001001", B"00010100", B"00110110", B"11000110", B"10111010",
2533
 B"11111000", B"00101010", B"11100111", B"10101011", B"10101110",
2534
 B"00101011", B"00010001", B"11000110", B"00101111", B"11011001",
2535
 B"00011000", B"00001000", B"10101110", B"00100000", B"00010110",
2536
 B"11000101", B"00100100", B"00001010", B"11010011", B"11010110",
2537
 B"00111001", B"00010011", B"11111101", B"11000011", B"00100011",
2538
 B"11100100", B"00101011", B"00010110", B"11010011", B"00101011",
2539
 B"00011000", B"11010100", B"00000101", B"11101001", B"00011001",
2540
 B"11100010", B"11010010", B"00001011", B"11110110", B"11011000",
2541
 B"11111100", B"00011001", B"01000000", B"11001101", B"11010000",
2542
 B"11011000", B"11111101", B"11010111", B"00100011", B"00011110",
2543
 B"11010001", B"11011111", B"00011000", B"11111010", B"00001010",
2544
 B"10101000", B"11010100", B"00011111", B"00100110", B"11100111",
2545
 B"11011101", B"11010001", B"11111100", B"11000010", B"00001011",
2546
 B"11101111", B"11100110", B"00110110", B"00000011", B"10111100",
2547
 B"10111000", B"11101001", B"11100000", B"11100100", B"11011110",
2548
 B"11101111", B"00101110", B"00011111", B"00101100", B"00010100",
2549
 B"11110001", B"00111100", B"11011011", B"11110100", B"11101111",
2550
 B"00100001", B"00110111", B"11011111", B"00101100", B"00011100",
2551
 B"01000010", B"11101111", B"00111011", B"00000000", B"00100010",
2552
 B"10110110", B"11001111", B"01000000", B"11100110", B"11011100",
2553
 B"11010100", B"00100000", B"00010110", B"11100010", B"00001010",
2554
 B"00110010", B"00110100", B"00110110", B"00100011", B"00101010",
2555
 B"00100011", B"00101001", B"00010110", B"11000101", B"11010101",
2556
 B"11111100", B"11101001", B"11100000", B"11100101", B"11101001",
2557
 B"00010010", B"11101111", B"00110010", B"10101110", B"11001111",
2558
 B"00000000", B"00001101", B"00101101", B"00011000", B"11100010",
2559
 B"11000001", B"11100101", B"11101010", B"00100111", B"11100101",
2560
 B"00011001", B"11111101", B"11111011", B"00100111", B"00000100",
2561
 B"11110000", B"11100001", B"00111011", B"00101010", B"11010011",
2562
 B"11101000", B"00000010", B"11000000", B"00100100", B"11010011",
2563
 B"11100000", B"00011001", B"00010111", B"00011100", B"11100000",
2564
 B"11110010", B"00010000", B"00111111", B"11101111", B"11100001",
2565
 B"00111011", B"11010000", B"11101100", B"00001011", B"11111111",
2566
 B"11000111", B"11011011", B"11011000", B"11101000", B"11000110",
2567
 B"00010010", B"00101010", B"00101000", B"00001111", B"11101011",
2568
 B"11010100", B"11001110", B"11011010", B"00010000", B"11001000",
2569
 B"11011000", B"11111000", B"11101110", B"00001111", B"11011101",
2570
 B"11010111", B"11100100", B"00101101", B"00011001", B"11000100",
2571
 B"00001100", B"11001010", B"11101111", B"11000101", B"11110101",
2572
 B"00000010", B"00100111", B"00010011", B"00100100", B"11111100",
2573
 B"11110011", B"11010011", B"00101101", B"11101111", B"00000101",
2574
 B"11111111", B"11011001", B"00010110", B"00110111", B"11100010",
2575
 B"11100110", B"00101001", B"11011100", B"00000101", B"11110010",
2576
 B"11101110", B"11101110", B"00001001", B"11101000", B"00000011",
2577
 B"11011001", B"11010101", B"01001101", B"00010010", B"00001000",
2578
 B"11111100", B"00110111", B"11001110", B"11101010", B"11111010",
2579
 B"00011110", B"00011100", B"11011000", B"00001110", B"00000100",
2580
 B"00011110", B"00000100", B"00100101", B"11011111", B"00010110",
2581
 B"11111100", B"11111111", B"11111111", B"00100011", B"11000101",
2582
 B"11100001", B"00111111", B"00101100", B"00011001", B"00011010",
2583
 B"00010110", B"00110111", B"00011011", B"11111111", B"01000101",
2584
 B"11110110", B"11010011", B"00111010", B"11001011", B"11011111",
2585
 B"11101111", B"00111100", B"00101001", B"11010111", B"11010110",
2586
 B"11100101", B"00000011", B"00011011", B"00010011", B"00011101",
2587
 B"11001101", B"11011010", B"11101110", B"11111110", B"00010000",
2588
 B"00101001", B"11111101", B"00110010", B"11001111", B"00111010",
2589
 B"11101011", B"11001100", B"11111000", B"11010001", B"01000001",
2590
 B"00011011", B"00100111", B"00010111", B"01000101", B"11010111",
2591
 B"00110000", B"11010110", B"11011000", B"11100100", B"00101111",
2592
 B"00001011", B"00011110", B"11110111", B"11001100", B"11100110",
2593
 B"11111110", B"00010011", B"10111001", B"01000100", B"11100111",
2594
 B"00010100", B"11110000", B"11001101", B"00000000", B"00100111",
2595
 B"00101011", B"11100001", B"11111111", B"11101100", B"00001111",
2596
 B"11110101", B"11001101", B"11110101", B"00101011", B"00011110",
2597
 B"10110001", B"11111011", B"00001110", B"11011100", B"00111010",
2598
 B"11001100", B"11011101", B"00011100", B"11100011", B"11000101",
2599
 B"11100001", B"00010100", B"00001000", B"11100101", B"00101000",
2600
 B"11101000", B"01011111", B"11111101", B"00101001", B"11100100",
2601
 B"11010111", B"11011101", B"00010000", B"00111001", B"11010010",
2602
 B"00100111", B"11111100", B"00010100", B"11110001", B"00010101",
2603
 B"11100001", B"00000110", B"00100011", B"00101010", B"11011111",
2604
 B"11011011", B"00101101", B"00110010", B"00001101", B"11010000",
2605
 B"11001101", B"11011001", B"01001000", B"11011000", B"00111111",
2606
 B"11100001", B"11000110", B"01000110", B"11011111", B"00011110",
2607
 B"11100010", B"00011111", B"00110100", B"00000010", B"00110011",
2608
 B"11101000", B"00110011", B"11010100", B"10101101", B"11111001",
2609
 B"11011110", B"11011111", B"11000010", B"11010111", B"11001001",
2610
 B"11011110", B"00000010", B"00100010", B"00111001", B"00101110",
2611
 B"11101011", B"11010100", B"11011101", B"11100100", B"11000100",
2612
 B"00111100", B"11111110", B"11011101", B"00100101", B"11100011",
2613
 B"00100001", B"00100011", B"00110110", B"00100001", B"00010111",
2614
 B"00010000", B"00100001", B"00011011", B"11110011", B"00101100",
2615
 B"11111101", B"11101000", B"11101100", B"00100101", B"00010110",
2616
 B"11100000", B"11100100", B"00100111", B"11001011", B"00001000",
2617
 B"11000110", B"00011011", B"00010010", B"11010111", B"00000010",
2618
 B"00100011", B"00111000", B"00100111", B"00010001", B"11101011",
2619
 B"00001111", B"00011101", B"00101101", B"00011011", B"00001101",
2620
 B"11100001", B"00001000", B"11011111", B"00011111", B"11101000",
2621
 B"01000001", B"00110001", B"11000011", B"11010011", B"00011110",
2622
 B"00101000", B"11010101", B"11100110", B"11001110", B"11111011",
2623
 B"00000110", B"11101001", B"00011000", B"11110011", B"11001011",
2624
 B"00010111", B"00011101", B"01000000", B"11111110", B"11011010",
2625
 B"11111101", B"00111000", B"11101101", B"00010100", B"11111011",
2626
 B"11100111", B"11100100", B"11011111", B"00100000", B"00111001",
2627
 B"00011101", B"00110111", B"11100001", B"00011100", B"01000000",
2628
 B"00001000", B"11101100", B"00100011", B"11010010", B"00010010",
2629
 B"11110010", B"11001100", B"00100100", B"11010001", B"00101111",
2630
 B"11010100", B"11100100", B"00111110", B"11011000", B"00011010",
2631
 B"00000101", B"11001010", B"11101100", B"11101100", B"11110111",
2632
 B"11001101", B"11011100", B"11110000", B"11011110", B"11110100",
2633
 B"00111110", B"11011100", B"00011110", B"11101111", B"11000001",
2634
 B"11101101", B"00110100", B"00100100", B"00111001", B"00101101",
2635
 B"11000001", B"11000100", B"00110011", B"11110101", B"00011100",
2636
 B"11111101", B"11101000", B"01000101", B"00101011", B"11111000",
2637
 B"00010110", B"11011010", B"11100110", B"11100100", B"11010110",
2638
 B"00000011", B"11010111", B"00010111", B"00110000", B"00011000",
2639
 B"11101011", B"00111001", B"00010111", B"11110110", B"11111110",
2640
 B"11111100", B"00010011", B"00100010", B"11100110", B"00001111",
2641
 B"00010110", B"11111011", B"11101001", B"00000110", B"11110111",
2642
 B"00100110", B"00111101", B"11010010", B"11011010", B"11010001",
2643
 B"11001110", B"11010000", B"00110010", B"11011110", B"11011001",
2644
 B"11011111", B"11011100", B"00001111", B"11000011", B"00100011",
2645
 B"11011111", B"11011110", B"00011000", B"11011000", B"01010111",
2646
 B"11111000", B"11101000", B"00010010", B"00101001", B"10111110",
2647
 B"00110001", B"11100101", B"11100010", B"11000110", B"11101110",
2648
 B"00101001", B"00100110", B"00001011", B"00101001", B"00000110",
2649
 B"11101011", B"00111110", B"00110100", B"11101110", B"00100100",
2650
 B"00111100", B"11010100", B"00100010", B"00110010", B"11001110",
2651
 B"10111101", B"00100101", B"11010100", B"00010110", B"00011011",
2652
 B"00011010", B"11110001", B"00110111", B"11010111", B"11100101",
2653
 B"11010111", B"00000100", B"00000100", B"00101100", B"00011101",
2654
 B"00101101", B"00010000", B"00010001", B"11100011", B"00000011",
2655
 B"00011101", B"11100010", B"11010010", B"11001101", B"00000001",
2656
 B"11000100", B"11101110", B"00110101", B"11111010", B"11100010",
2657
 B"11010000", B"00011111", B"11111110", B"11010111", B"00100011",
2658
 B"11110000", B"11100001", B"00111100", B"10111110", B"11101101",
2659
 B"10111000", B"11001011", B"11101000", B"11011110", B"11001100",
2660
 B"00101110", B"00001001", B"11000010", B"00011100", B"11110011",
2661
 B"00100001", B"11100111", B"00110000", B"00110001", B"11101001",
2662
 B"00100110", B"00010010", B"11010010", B"00111111", B"00001110",
2663
 B"11010000", B"11100111", B"01000011", B"00010011", B"11101011",
2664
 B"00001110", B"11101001", B"11110010", B"00011010", B"00101001",
2665
 B"00101000", B"00110010", B"11101100", B"11000010", B"00101100",
2666
 B"00001001", B"00001011", B"11101110", B"00101010", B"11011010",
2667
 B"11111110", B"11100111", B"00010111", B"11011010", B"11011101",
2668
 B"00000100", B"11110101", B"00111000", B"11010111", B"11110001",
2669
 B"00101000", B"00110001", B"00010001", B"01010010", B"00101111",
2670
 B"01001111", B"00111101", B"00000010", B"11100011", B"00001110",
2671
 B"01010011", B"11101011", B"11100100", B"10111110", B"11100100",
2672
 B"11110010", B"11011000", B"11001010", B"00110111", B"11011010",
2673
 B"00110110", B"10111100", B"10110100", B"00110110", B"11110010",
2674
 B"00101011", B"00101100", B"00001010", B"01010101", B"00100001",
2675
 B"00001100", B"00100001", B"00000000", B"11100100", B"11111001",
2676
 B"11001101", B"00110001", B"00101100", B"00100100", B"00110011",
2677
 B"00010110", B"00010100", B"11001110", B"11110101", B"11111011",
2678
 B"00101000", B"11010110", B"11101101", B"11010110", B"00101011",
2679
 B"00100111", B"00110011", B"11111110", B"01000101", B"11010000",
2680
 B"11100001", B"11100100", B"00010000", B"11100001", B"11001111",
2681
 B"11100101", B"00110010", B"00110110", B"11100101", B"00100010",
2682
 B"00000101", B"01000101", B"11011101", B"01000000", B"11011111",
2683
 B"00101100", B"11111101", B"00101110", B"11111101", B"00100101",
2684
 B"11011010", B"11110011", B"11001101", B"00101101", B"11110011",
2685
 B"00101101", B"00101110", B"00100000", B"11111010", B"11101011",
2686
 B"11000001", B"00101111", B"11010010", B"11111011", B"11111110",
2687
 B"11010110", B"01001111", B"01000011", B"11111010", B"00111100",
2688
 B"10100111", B"11101000", B"11010011", B"00000110", B"00001000",
2689
 B"00100010", B"00111110", B"11010110", B"11110101", B"00101000",
2690
 B"10100110", B"00111101", B"11001010", B"11110001", B"11100001",
2691
 B"00110100", B"00000100", B"10111010", B"11010100", B"11111011",
2692
 B"00101111", B"00100110", B"11111111", B"00011010", B"11001111",
2693
 B"00001000", B"00001101", B"11101010", B"00111011", B"11001110",
2694
 B"11110100", B"11001010", B"11101001", B"00111100", B"00101011",
2695
 B"11100000", B"11100010", B"00100010", B"00101011", B"11011101",
2696
 B"00010011", B"11110101", B"11101111", B"00011111", B"00000100",
2697
 B"11111001", B"00010100", B"01001010", B"11000110", B"00001110",
2698
 B"11111001", B"01001000", B"11101011", B"11011010", B"11011100",
2699
 B"11110100", B"00111110", B"00100001", B"11010011", B"11010010",
2700
 B"11000110", B"11101110", B"00010111", B"00100001", B"00000110",
2701
 B"11111001", B"11111101", B"11101000", B"00001110", B"11110001",
2702
 B"11011111", B"00011110", B"11101100", B"00101101", B"11100101",
2703
 B"00100110", B"11011000", B"11011101", B"11011100", B"00000000",
2704
 B"11111111", B"00110110", B"00011111", B"11010100", B"10010111",
2705
 B"00011110", B"11111101", B"11111011", B"11111110", B"11010000",
2706
 B"11111111", B"11011010", B"11011001", B"11110011", B"11110101",
2707
 B"00101011", B"11001011", B"00010001", B"11011101", B"00010011",
2708
 B"00101111", B"00001010", B"00111100", B"00101101", B"11001100",
2709
 B"11111001", B"11011010", B"00001000", B"00101010", B"01000011",
2710
 B"11101101", B"00111000", B"11101110", B"00010101", B"00001111",
2711
 B"11111000", B"00011110", B"11010100", B"11011101", B"11010111",
2712
 B"11111011", B"11001001", B"11101010", B"00011100", B"00011101",
2713
 B"00000110", B"00010100", B"11101001", B"11010001", B"11001001",
2714
 B"00101010", B"11101111", B"00001000", B"10101001", B"11111110",
2715
 B"11110110", B"00100001", B"00010111", B"11100011", B"11010001",
2716
 B"10110110", B"11010100", B"00110011", B"11010111", B"00110111",
2717
 B"11010111", B"11011011", B"11001111", B"11001111", B"01000111",
2718
 B"00001111", B"11111101", B"00010001", B"11100110", B"11110110",
2719
 B"00101110", B"00101110", B"00100011", B"00111111", B"10111000",
2720
 B"11011111", B"00101001", B"00101001", B"11100111", B"11111010",
2721
 B"00010111", B"00011001", B"11010100", B"10111010", B"11000101",
2722
 B"00110111", B"11100001", B"00000011", B"10110010", B"00110110",
2723
 B"01010111", B"11100100", B"11001111", B"11001100", B"00100011",
2724
 B"00000000", B"00011000", B"11100101", B"11000000", B"00100111",
2725
 B"11010010", B"11100110", B"01000100", B"11010100", B"00011111",
2726
 B"11100100", B"11101101", B"00001100", B"11010010", B"00001001",
2727
 B"10111001", B"00000100", B"11001111", B"11111011", B"00011110",
2728
 B"11010111", B"11101001", B"00011100", B"00000011", B"00000011",
2729
 B"11001101", B"00101110", B"00100111", B"11110001", B"11011100",
2730
 B"11010101", B"00100000", B"00000111", B"01000000", B"00110111",
2731
 B"11001110", B"11010111", B"00110100", B"00001000", B"00111101",
2732
 B"11001001", B"11010100", B"11001100", B"00010101", B"01000011",
2733
 B"00101111", B"11111001", B"00000111", B"11011001", B"00101001",
2734
 B"11001110", B"00100011", B"11110100", B"00000011", B"00000001",
2735
 B"00000011", B"00001100", B"10101101", B"00010100", B"11101011",
2736
 B"00101111", B"00010000", B"11101000", B"00011000", B"11000101",
2737
 B"00010011", B"11110001", B"11101100", B"00010111", B"11001000",
2738
 B"11100110", B"01000001", B"00100110", B"00011001", B"00100001",
2739
 B"11010100", B"00010011", B"11001110", B"11011101", B"00011100",
2740
 B"01001111", B"00100100", B"00101101", B"11011011", B"11011010",
2741
 B"00010111", B"00101010", B"00000011", B"11011100", B"00100111",
2742
 B"11100100", B"00000001", B"00001111", B"00010110", B"11100110",
2743
 B"01000000", B"11110111", B"00001001", B"10110011", B"11100100",
2744
 B"11110101", B"11001100", B"11100101", B"11110001", B"01001111",
2745
 B"00100000", B"11011010", B"00010111", B"11101100", B"11110101",
2746
 B"00000001", B"00001011", B"11001110", B"11010011", B"11010011",
2747
 B"00100001", B"01000011", B"00100111", B"11001101", B"11101001",
2748
 B"00101101", B"11100010", B"11110101", B"00001010", B"11100100",
2749
 B"00100101", B"00101100", B"10011111", B"00101011", B"00101110",
2750
 B"11001000", B"11111011", B"00110000", B"10110111", B"00100101",
2751
 B"00111010", B"00010010", B"11100001", B"00011100", B"11001101",
2752
 B"00111111", B"11001111", B"11111111", B"00011101", B"00011010",
2753
 B"00100011", B"00101011", B"11101010", B"11010111", B"11101111",
2754
 B"11100011", B"00100110", B"10111111", B"11111100", B"11010010",
2755
 B"11010000", B"00111110", B"11111101", B"11111011", B"00010001",
2756
 B"11000011", B"11011000", B"11100000", B"00100011", B"00011000",
2757
 B"11110101", B"00000110", B"00100110", B"11010111", B"11110000",
2758
 B"11101111", B"11100001", B"11101010", B"11111110", B"00000110",
2759
 B"11100111", B"00101110", B"11110100", B"11011110", B"11000000",
2760
 B"11101100", B"11100000", B"00101101", B"00000011", B"00100101",
2761
 B"11100010", B"00011110", B"11101010", B"00101000", B"11100011",
2762
 B"00111000", B"11001100", B"11000001", B"11011111", B"00010000",
2763
 B"00110100", B"11011011", B"00010001", B"11011010", B"11101110",
2764
 B"00111000", B"11100001", B"01000101", B"00110000", B"11101100",
2765
 B"00011101", B"11101011", B"01000011", B"11011101", B"11111010",
2766
 B"10110010", B"00110001", B"00000000", B"00000111", B"11101111",
2767
 B"11110101", B"00010011", B"11110001", B"00111001", B"00110010",
2768
 B"11100110", B"11000101", B"11101110", B"00010111", B"11010010",
2769
 B"00111100", B"00110110", B"00001100", B"01000100", B"10100010",
2770
 B"11010110", B"11100100", B"00100001", B"00100111", B"11101010",
2771
 B"01000010", B"11101100", B"11010100", B"00001001", B"00110000",
2772
 B"00101010", B"11101100", B"00011110", B"00000010", B"00101101",
2773
 B"11100111", B"00110011", B"01001010", B"00001100", B"11000010",
2774
 B"11111111", B"11100101", B"00111100", B"00100100", B"00010101",
2775
 B"01001111", B"00111111", B"11111000", B"00101000", B"00010111",
2776
 B"00010001", B"00100010", B"11111000", B"00011000", B"11010010",
2777
 B"00101001", B"10101101", B"00000010", B"11011000", B"11000101",
2778
 B"11100010", B"00000111", B"00110011", B"00010110", B"00100001",
2779
 B"10011110", B"10111110", B"00000011", B"11110101", B"00011110",
2780
 B"00010111", B"00100101", B"11111101", B"11001001", B"11001111",
2781
 B"00010100", B"11100010", B"00101111", B"11000000", B"11111010",
2782
 B"11011000", B"00011010", B"00100011", B"11101110", B"00101001",
2783
 B"00100100", B"11100110", B"11101000", B"10111101", B"00010110",
2784
 B"11011001", B"11000010", B"00101001", B"11111000", B"11100100",
2785
 B"11000010", B"11111000", B"11101100", B"11001110", B"11011000",
2786
 B"11110111", B"01000011", B"11110100", B"11010111", B"11010010",
2787
 B"11010111", B"01001101", B"00110011", B"00110011", B"00000101",
2788
 B"01010100", B"00011111", B"00100000", B"00100000", B"00011101",
2789
 B"01010001", B"00001101", B"11000110", B"00101111", B"00111010",
2790
 B"11010101", B"11000010", B"00110101", B"11101011", B"11111110",
2791
 B"00100101", B"11001001", B"11011110", B"11101101", B"11000010",
2792
 B"11100011", B"00000011", B"00111111", B"00000100", B"11000011",
2793
 B"11110010", B"11110100", B"00011100", B"10111000", B"11111011",
2794
 B"00101100", B"00001001", B"11110101", B"11010111", B"10111000",
2795
 B"11011000", B"11111111", B"00010110", B"11011001", B"11011100",
2796
 B"11101011", B"11010110", B"00100110", B"10110110", B"11110101",
2797
 B"11000111", B"11000001", B"11011110", B"11011110", B"00011101",
2798
 B"00011110", B"00011110", B"11111101", B"11010100", B"11110110",
2799
 B"00001111", B"11010110", B"00101100", B"11101010", B"11010100",
2800
 B"00011110", B"00110011", B"00011010", B"00111101", B"11101001",
2801
 B"00010000", B"11100101", B"11110111", B"11001011", B"00011010",
2802
 B"00101111", B"11000110", B"00010111", B"00011011", B"11101110",
2803
 B"11010010", B"00100001", B"00010001", B"00111101", B"11001000",
2804
 B"11100011", B"00000111", B"00110011", B"11101100", B"11001000",
2805
 B"00011010", B"00100100", B"11101111", B"00010101", B"00101001",
2806
 B"11110001", B"11110110", B"00010110", B"00110100", B"00100000",
2807
 B"00010111", B"11010100", B"00101100", B"11011011", B"00110011",
2808
 B"11011111", B"00100001", B"11101110", B"11001111", B"11110100",
2809
 B"11110100", B"11100010", B"11100110", B"11110101", B"11110110",
2810
 B"11110111", B"11111111", B"11101001", B"00010010", B"00010110",
2811
 B"00011101", B"00110101", B"00110100", B"00010000", B"11111011",
2812
 B"11111111", B"00101010", B"11111000", B"00000100", B"11111100",
2813
 B"00011111", B"00101011", B"00111000", B"11010000", B"11111000",
2814
 B"11001111", B"11111110", B"11100000", B"11011110", B"00110100",
2815
 B"00000111", B"00100110", B"11101010", B"00101010", B"00000100",
2816
 B"00010101", B"11101000", B"00110010", B"11011110", B"10111110",
2817
 B"11010000", B"00010110", B"11101011", B"11011000", B"11111010",
2818
 B"00100101", B"11011011", B"00100100", B"11101000", B"11100100",
2819
 B"00110000", B"00110010", B"00100110", B"11111000", B"00100111",
2820
 B"01000000", B"10110000", B"01000101", B"00000000", B"00000011",
2821
 B"11100101", B"00011010", B"00011011", B"11011011", B"11101000",
2822
 B"00000010", B"11011010", B"11011010", B"00100110", B"11000010",
2823
 B"01010001", B"00110000", B"11100011", B"00010000", B"00100100",
2824
 B"11100000", B"11010011", B"11111011", B"11100111", B"00011111",
2825
 B"00101010", B"11110001", B"00100010", B"11101001", B"11010000",
2826
 B"00110101", B"11101000", B"00001110", B"11001010", B"11101010",
2827
 B"01000111", B"11111111", B"10101010", B"11111110", B"00010000",
2828
 B"01000110", B"11111110", B"00100001", B"00001000", B"00111000",
2829
 B"11110100", B"11100001", B"00101111", B"00010111", B"00001000",
2830
 B"00001101", B"11000010", B"00000011", B"00001111", B"00110000",
2831
 B"11100110", B"00111101", B"00111111", B"11100011", B"00000011",
2832
 B"00110101", B"00010111", B"11100111", B"00101100", B"01000010",
2833
 B"11110100", B"00000011", B"11000101", B"11101010", B"00101100",
2834
 B"11010000", B"00010011", B"11110001", B"11100001", B"11101001",
2835
 B"00000100", B"00101111", B"11011001", B"11010100", B"00101110",
2836
 B"00100111", B"00001001", B"00100110", B"11110001", B"10111101",
2837
 B"11010010", B"11000111", B"00000001", B"11101100", B"00011110",
2838
 B"01000101", B"00110110", B"00001111", B"00100011", B"11010110",
2839
 B"00111101", B"00001111", B"11000010", B"00010000", B"00101110",
2840
 B"11001001", B"11001101", B"01011010", B"11011001", B"11110010",
2841
 B"11111010", B"00101001", B"00001011", B"11110010", B"00011100",
2842
 B"00011101", B"00101010", B"11111110", B"00100001", B"00011110",
2843
 B"11110100", B"00100100", B"00010000", B"11010101", B"00010110",
2844
 B"11011010", B"11110000", B"11000101", B"00010101", B"00111101",
2845
 B"00100111", B"11000001", B"11010001", B"11000111", B"11010110",
2846
 B"01001101", B"11001010", B"00101101", B"00011100", B"00000110",
2847
 B"00100001", B"11011110", B"00111111", B"11001010", B"01000010",
2848
 B"00000000", B"00110001", B"11010010", B"11100111", B"01000010",
2849
 B"11011000", B"00000000", B"11011110", B"11011000", B"11111111",
2850
 B"11101111", B"00100011", B"11010000", B"11100001", B"11101011",
2851
 B"00001100", B"00111001", B"00110011", B"11000011", B"11100011",
2852
 B"00100100", B"11111100", B"11010111", B"11001111", B"11011001",
2853
 B"11010110", B"00010001", B"11001001", B"00001000", B"11100011",
2854
 B"00110010", B"00101111", B"11101010", B"11011000", B"11100111",
2855
 B"11001111", B"00000101", B"00000100", B"00100000", B"00000100",
2856
 B"00111100", B"11101010", B"11001011", B"00000110", B"00000111",
2857
 B"00100111", B"11111100", B"11100000", B"00010101", B"00010111",
2858
 B"11110111", B"11110111", B"11100010", B"00000111", B"00100000",
2859
 B"00010111", B"00101110", B"00010110", B"00011110", B"11101100",
2860
 B"11111111", B"11101111", B"11010111", B"00111110", B"01010111",
2861
 B"00101011", B"11110001", B"11010100", B"00010111", B"11100100",
2862
 B"11111011", B"11000100", B"11100101", B"00010010", B"00011001",
2863
 B"11000011", B"11100110", B"11111100", B"00100001", B"11110010",
2864
 B"10111010", B"00001010", B"01000001", B"00011000", B"11111111",
2865
 B"00110111", B"00001110", B"00100111", B"00001101", B"00001010",
2866
 B"00101110", B"00101111", B"11111110", B"00000011", B"11110011",
2867
 B"00001011", B"11000100", B"00011111", B"11110010", B"00100111",
2868
 B"11011110", B"11010011", B"11100010", B"00111100", B"00010100",
2869
 B"11101010", B"11111011", B"01100000", B"11101001", B"11010100",
2870
 B"11011110", B"11101011", B"11010110", B"10110111", B"00011100",
2871
 B"00100100", B"11100110", B"11111001", B"11010011", B"11010010",
2872
 B"11110001", B"11110000", B"00100000", B"00110110", B"11010101",
2873
 B"00000010", B"10010011", B"11011111", B"11100001", B"00011111",
2874
 B"00011100", B"00011000", B"11101101", B"00001000", B"11110100",
2875
 B"00001001", B"11011010", B"00001111", B"11110010", B"00011001",
2876
 B"00010100", B"00010011", B"00000110", B"11110001", B"11101111",
2877
 B"11101101", B"00110100", B"11001100", B"00011101", B"11101101",
2878
 B"11101011", B"01000010", B"00011100", B"01001101", B"11110010",
2879
 B"11011000", B"01000011", B"11001111", B"10111111", B"11100100",
2880
 B"11100100", B"00100011", B"00001110", B"11001111", B"11101001",
2881
 B"00010011", B"01001011", B"11010000", B"10111101", B"10111010",
2882
 B"11011011", B"11100001", B"10111101", B"00110000", B"00001011",
2883
 B"00000110", B"00011011", B"00001110", B"00011001", B"11010111",
2884
 B"00001110", B"01000000", B"11111101", B"11001011", B"00100000",
2885
 B"11000101", B"00011101", B"00100101", B"11000111", B"11101011",
2886
 B"11101001", B"11110010", B"11011010", B"11001000", B"11101001",
2887
 B"11010111", B"11111100", B"00100000", B"11100011", B"00111100",
2888
 B"11010111", B"11000011", B"11101100", B"11010010", B"11011111",
2889
 B"00100011", B"00010101", B"00101010", B"00100111", B"00110001",
2890
 B"00100000", B"00111101", B"00010010", B"00101001", B"00101011",
2891
 B"01001111", B"00000111", B"11100011", B"00000110", B"11100000",
2892
 B"11001111", B"11010010", B"00001111", B"01000001", B"11100001",
2893
 B"00000110", B"11001000", B"00000000", B"00010000", B"11001100",
2894
 B"11011110", B"11001101", B"11100100", B"11100100", B"00110100",
2895
 B"00100111", B"11010000", B"00100100", B"11001001", B"11010001",
2896
 B"10100110", B"11011001", B"11011111", B"01000110", B"11110100",
2897
 B"00101101", B"11100010", B"11000101", B"00111101", B"00000110",
2898
 B"00000111", B"11110001", B"11010001", B"00001111", B"00100010",
2899
 B"11010100", B"00000100", B"00001101", B"00011011", B"11101000",
2900
 B"00010011", B"00110001", B"11110001", B"00000100", B"00010010",
2901
 B"11010001", B"10100001", B"00110010", B"00001010", B"00000111",
2902
 B"00100100", B"11110001", B"11110000", B"11111110", B"11010101",
2903
 B"00011000", B"11011110", B"00111000", B"11011010", B"11001111",
2904
 B"00101011", B"11110000", B"01010001", B"00101001", B"00001110",
2905
 B"11101001", B"00101000", B"11010101", B"00010010", B"00110101",
2906
 B"00000111", B"00110100", B"11101000", B"00110000", B"11111000",
2907
 B"00011111", B"11101100", B"00011000", B"00010110", B"11110101",
2908
 B"11010100", B"01001100", B"00010010", B"11111010", B"11101011",
2909
 B"00100101", B"11101010", B"00100101", B"11001000", B"11100100",
2910
 B"11010100", B"00101100", B"00100010", B"00001010", B"00001000",
2911
 B"11100111", B"00111001", B"11001011", B"11011110", B"11101111",
2912
 B"00011000", B"11100010", B"00011101", B"00001010", B"11000001",
2913
 B"11100001", B"11111011", B"11100110", B"10111101", B"00010001",
2914
 B"11011101", B"11110001", B"11011001", B"11000111", B"11110011",
2915
 B"11011001", B"00001111", B"11011010", B"00101100", B"11101101",
2916
 B"00001000", B"11110100", B"11010100", B"00001011", B"00101101",
2917
 B"00011100", B"00110101", B"11011001", B"00010111", B"00011110",
2918
 B"11011100", B"11100100", B"00100010", B"11011001", B"00100101",
2919
 B"00001101", B"00101001", B"10100101", B"00111001", B"11100000",
2920
 B"00011101", B"00111100", B"11001101", B"00010110", B"00011101",
2921
 B"11110011", B"11110100", B"00111001", B"11100101", B"11011011",
2922
 B"11010001", B"11100101", B"11101000", B"00111111", B"00010101",
2923
 B"00100010", B"00110010", B"00100101", B"00101101", B"11101110",
2924
 B"00101011", B"00001100", B"11110110", B"11001110", B"00000110",
2925
 B"00100000", B"00100001", B"00011010", B"00101100", B"11111001",
2926
 B"01101001", B"11110111", B"00010110", B"00011110", B"00011100",
2927
 B"11100111", B"11101001", B"01000001", B"00011001", B"11110011",
2928
 B"11111010", B"11111111", B"00111001", B"11010100", B"00001000",
2929
 B"11111000", B"11010000", B"00011101", B"00001001", B"00001001",
2930
 B"00110001", B"11010000", B"00100000", B"11011001", B"11010001",
2931
 B"00011000", B"00101010", B"11100001", B"11100010", B"00000111",
2932
 B"11001001", B"00101010", B"11000110", B"11101100", B"00000111",
2933
 B"00001110", B"11000111", B"11000111", B"11111001", B"11010010",
2934
 B"00101001", B"11101000", B"00111110", B"11011000", B"00010010",
2935
 B"11011111", B"00000000", B"11001011", B"00100100", B"01001000",
2936
 B"11001001", B"00100010", B"00100000", B"11100010", B"11101011",
2937
 B"00010010", B"00010110", B"11100111", B"11011000", B"00110001",
2938
 B"11100111", B"01010111", B"11111111", B"11000101", B"00101100",
2939
 B"00101000", B"11010000", B"11110100", B"00000000", B"11011100",
2940
 B"11010000", B"00011100", B"00111001", B"00100111", B"00010001",
2941
 B"11001000", B"11101010", B"11101000", B"00100111", B"00010101",
2942
 B"00000011", B"00011101", B"11100001", B"11111111", B"00010111",
2943
 B"11010011", B"11100101", B"11101110", B"00100100", B"11100000",
2944
 B"00110010", B"00111000", B"11010010", B"11010110", B"11111001",
2945
 B"11110100", B"00100010", B"11001111", B"00100100", B"00101011",
2946
 B"11111011", B"11100110", B"00000011", B"11110101", B"11100011",
2947
 B"11110010", B"01000111", B"00101101", B"00001100", B"11011000",
2948
 B"11000111", B"00011000", B"01000110", B"11001010", B"11011010",
2949
 B"00000110", B"11101111", B"11011101", B"11010101", B"11101111",
2950
 B"00011010", B"11101001", B"11101010", B"11100110", B"10011001",
2951
 B"00111001", B"01000001", B"00001101", B"00110100", B"11100110",
2952
 B"00001010", B"00111100", B"00101010", B"11011010", B"00101010",
2953
 B"00010010", B"11001001", B"11010111", B"00111100", B"00101100",
2954
 B"00000001", B"00110010", B"11001110", B"11000101", B"10111000",
2955
 B"00011111", B"00101000", B"11100101", B"11111000", B"11011001",
2956
 B"00010001", B"00001011", B"00000010", B"00011110", B"00010011",
2957
 B"00100000", B"10110110", B"11011100", B"00001101", B"11001111",
2958
 B"11001101", B"11100111", B"11001101", B"11010111", B"11100011",
2959
 B"11011100", B"11001000", B"00000000", B"00001010", B"00101001",
2960
 B"00011101", B"11010011", B"11111000", B"00100110", B"11011100",
2961
 B"00110000", B"11010001", B"11101010", B"00101110", B"00110000",
2962
 B"11100111", B"00011010", B"11100000", B"00000100", B"10111110",
2963
 B"00100011", B"00001011", B"11111011", B"11010101", B"00111000",
2964
 B"00011101", B"00101001", B"00011101", B"11010100", B"11011100",
2965
 B"11010011", B"00011111", B"11011111", B"10110110", B"11101110",
2966
 B"00010111", B"11111111", B"10111101", B"11010000", B"00011010",
2967
 B"00110100", B"11010100", B"11001110", B"00110000", B"00110001",
2968
 B"11100011", B"11111000", B"00010100", B"11101011", B"11000100",
2969
 B"11010111", B"00100110", B"01001001", B"11101010", B"11100001",
2970
 B"11010010", B"00000100", B"00111110", B"00010110", B"10111110",
2971
 B"00000111", B"11110101", B"00011101", B"11100000", B"00100000",
2972
 B"00100101", B"00001110", B"00001100", B"00100011", B"11010010",
2973
 B"00100011", B"11100100", B"11110000", B"10101101", B"11101100",
2974
 B"00111000", B"11001101", B"11101111", B"00101001", B"00101101",
2975
 B"11110010", B"01000110", B"00001101", B"11000011", B"11100101",
2976
 B"00011111", B"11000110", B"11100011", B"00100100", B"00001000",
2977
 B"00100001", B"00010011", B"11001011", B"11010101", B"11111011",
2978
 B"11100010", B"00011001", B"11101011", B"00011001", B"11100111",
2979
 B"11100110", B"00000111", B"11001111", B"00111001", B"11101010",
2980
 B"00100110", B"11011010", B"00111000", B"11100010", B"00000011",
2981
 B"00100000", B"00111011", B"00010111", B"00101011", B"00001000",
2982
 B"00100111", B"00010001", B"00100010", B"00111000", B"11110000",
2983
 B"11001010", B"00011101", B"11010100", B"11101111", B"11100101",
2984
 B"10110010", B"11011011", B"00000110", B"11110001", B"11111101",
2985
 B"11011000", B"11100010", B"00000110", B"11110000", B"00010010",
2986
 B"00011110", B"11010000", B"11110101", B"00011101", B"11110111",
2987
 B"10100110", B"00010001", B"00100110", B"00010111", B"00101111",
2988
 B"11011000", B"00010001", B"11011010", B"01000011", B"11010010",
2989
 B"11000111", B"11110000", B"00000001", B"11001111", B"00110011",
2990
 B"00000000", B"00100010", B"00111101", B"00010110", B"00100101",
2991
 B"11100011", B"00100110", B"00111011", B"11111010", B"11101000",
2992
 B"00010001", B"11101111", B"11110101", B"00010011", B"11111100",
2993
 B"01000100", B"00011111", B"11110101", B"01001111", B"00100000",
2994
 B"11111011", B"00001111", B"11101111", B"00011110", B"10110000",
2995
 B"00011110", B"11010010", B"00000100", B"10111110", B"01000001",
2996
 B"10111111", B"11101010", B"11010100", B"00111110", B"00011101",
2997
 B"00011011", B"11110100", B"11001110", B"00010010", B"10011101",
2998
 B"00101110", B"11110000", B"00000000", B"11011110", B"00001101",
2999
 B"00001101", B"00100001", B"11000011", B"00001011", B"11100011",
3000
 B"11111001", B"10110010", B"00100101", B"11100100", B"00000101",
3001
 B"10100111", B"11000100", B"00100111", B"01000001", B"11111111",
3002
 B"01100101", B"00001100", B"11111010", B"00101011", B"00111111",
3003
 B"11111100", B"00011111", B"11111011", B"00000110", B"11100000",
3004
 B"00100100", B"01100001", B"11010010", B"00010000", B"11010000",
3005
 B"11011100", B"11010010", B"11111100", B"11001010", B"00100010",
3006
 B"00100011", B"00111000", B"00011101", B"11010011", B"11101110",
3007
 B"11101011", B"11110110", B"01000010", B"00010010", B"00111000",
3008
 B"00010010", B"10110100", B"11010001", B"11011000", B"11100000",
3009
 B"00001010", B"01000100", B"00011000", B"00110101", B"11001100",
3010
 B"00010110", B"11000110", B"11011110", B"11101001", B"00001001",
3011
 B"00001000", B"11100010", B"10111110", B"11010101", B"11100100",
3012
 B"00000000", B"00101010", B"11110001", B"00100011", B"11011011",
3013
 B"00001100", B"00011001", B"11100001", B"11111111", B"11100111",
3014
 B"00011010", B"11101101", B"11111101", B"11010001", B"11101111",
3015
 B"11111111", B"11101010", B"11101111", B"00011011", B"00001010",
3016
 B"00001110", B"11111110", B"00101101", B"00010101", B"11101011",
3017
 B"00010001", B"11100000", B"00011010", B"11011111", B"11101001",
3018
 B"01010000", B"00010011", B"11110001", B"11011110", B"11100110",
3019
 B"11001111", B"11001110", B"00011110", B"00001101", B"00010110",
3020
 B"11111001", B"11111001", B"11010010", B"00101101", B"00100111",
3021
 B"00111110", B"00011001", B"11101010", B"11000011", B"00111101",
3022
 B"00000001", B"11110110", B"10101110", B"11111111", B"00001100",
3023
 B"00010110", B"11010110", B"00111101", B"11010101", B"00001000",
3024
 B"11011011", B"00110100", B"11011101", B"11111000", B"00011110",
3025
 B"11010011", B"11011000", B"11011111", B"11100111", B"11101010",
3026
 B"00100011", B"11100001", B"11111010", B"11011111", B"00000100",
3027
 B"11111001", B"11101111", B"00110010", B"00111010", B"11000010",
3028
 B"11110100", B"00010011", B"11010000", B"10111010", B"00000101",
3029
 B"00011111", B"11011011", B"11011011", B"11000111", B"11100110",
3030
 B"00010011", B"11100011", B"00110111", B"10111000", B"11100100",
3031
 B"11111111", B"10111101", B"00101001", B"00101111", B"00011010",
3032
 B"00011010", B"11010110", B"11000110", B"00100100", B"11011011",
3033
 B"00100011", B"00001100", B"11011100", B"00100100", B"11101001",
3034
 B"11110110", B"11010100", B"00110110", B"00110000", B"11100011",
3035
 B"00101001", B"10111111", B"00010010", B"11011000", B"11001111",
3036
 B"11111100", B"00010001", B"11010001", B"11111001", B"11000100",
3037
 B"11100111", B"00101111", B"11011001", B"00001001", B"11110000",
3038
 B"11100101", B"00101110", B"00101111", B"11010111", B"11000110",
3039
 B"11110111", B"00001111", B"01001100", B"11110111", B"01000110",
3040
 B"11101000", B"11111010", B"11110011", B"00010010", B"00100010",
3041
 B"00000111", B"00110100", B"11101001", B"11101111", B"11010011",
3042
 B"11100001", B"00100110", B"00010100", B"11111010", B"11111000",
3043
 B"11000000", B"11100100", B"11101110", B"11100100", B"10111101",
3044
 B"00101100", B"00100010", B"11111000", B"00001111", B"00000100",
3045
 B"00000000", B"11110011", B"11100111", B"11001010", B"00011111",
3046
 B"00001011", B"00011101", B"00100000", B"00001010", B"00101000",
3047
 B"00111110", B"11010011", B"11111100", B"11010100", B"00110001",
3048
 B"11110100", B"11101111", B"00101001", B"11001111", B"00100000",
3049
 B"11010110", B"11011010", B"00111101", B"01010100", B"00101110",
3050
 B"11100111", B"00001010", B"11001101", B"11100011", B"00010000",
3051
 B"10111110", B"00000111", B"00101010", B"11100100", B"10111110",
3052
 B"11110001", B"10101010", B"00010110", B"11000111", B"00011011",
3053
 B"11010101", B"00101111", B"11010111", B"11100111", B"11101110",
3054
 B"00111110", B"11110111", B"10111111", B"11100100", B"00011100",
3055
 B"00010111", B"00000001", B"11100110", B"00011110", B"11001110",
3056
 B"00010110", B"11110101", B"00100101", B"10111010", B"11011101",
3057
 B"11101110", B"00110000", B"00001111", B"11011110", B"00111010",
3058
 B"00010101", B"00110111", B"00111100", B"00100000", B"00100110",
3059
 B"01001000", B"00000011", B"00011100", B"11111110", B"11110111",
3060
 B"11000000", B"00101001", B"00001010", B"11010111", B"11110100",
3061
 B"00000011", B"11011111", B"00001101", B"00001100", B"10111000",
3062
 B"00000111", B"01000100", B"11010011", B"11001000", B"00101110",
3063
 B"00110011", B"11101110", B"11011010", B"00000111", B"11100011",
3064
 B"11110000", B"00100111", B"11011110", B"11100011", B"00011101",
3065
 B"11100111", B"11011111", B"11001010", B"11101101", B"00110000",
3066
 B"00010111", B"11110011", B"00111101", B"01000110", B"00110011",
3067
 B"11001110", B"00011001", B"11001010", B"01001001", B"00001000",
3068
 B"00101010", B"11000010", B"00100000", B"11011111", B"00110001",
3069
 B"00111001", B"00000011", B"00011110", B"00010001", B"00100110",
3070
 B"11110001", B"00000100", B"10111000", B"11100011", B"11110101",
3071
 B"00101111", B"11000010", B"00100110", B"11000001", B"11000111",
3072
 B"00101110", B"11101110", B"11010010", B"01000001", B"11000100",
3073
 B"00010001", B"11101000", B"11101010", B"00101000", B"10111101",
3074
 B"00101010", B"11011001", B"01100001", B"11100011", B"11101101",
3075
 B"00100011", B"00010011", B"00110101", B"11011100", B"00101000",
3076
 B"11101011", B"00010101", B"11011001", B"00111100", B"11011001",
3077
 B"11101010", B"11101001", B"00100001", B"00101010", B"00111000",
3078
 B"00111001", B"10110110", B"11011000", B"00111001", B"00101001",
3079
 B"00001101", B"00000111", B"00100100", B"01000000", B"11100000",
3080
 B"00011000", B"11100011", B"10111111", B"00111011", B"11011101",
3081
 B"00110110", B"10110111", B"00010000", B"00100010", B"00010000",
3082
 B"00011101", B"11110000", B"00011111", B"01001100", B"10100011",
3083
 B"11111011", B"00010101", B"11111111", B"11001001", B"00000101",
3084
 B"11100010", B"00111101", B"00101000", B"00111001", B"01000001",
3085
 B"11000101", B"00101001", B"00001001", B"11100101", B"11001110",
3086
 B"11101110", B"10111010", B"10111111", B"11000011", B"11101000",
3087
 B"00011000", B"00111001", B"10111111", B"00000110", B"11010101",
3088
 B"00000110", B"00101010", B"11110010", B"00010101", B"01010001",
3089
 B"11111000", B"00110111", B"00010010", B"11110110", B"11010000",
3090
 B"11101101", B"11010010", B"10111111", B"00111010", B"00100010",
3091
 B"11110101", B"00011110", B"00101001", B"11010111", B"11110001",
3092
 B"11001110", B"11011010", B"00011111", B"11111010", B"00011001",
3093
 B"11010001", B"00101110", B"11110001", B"11100111", B"11101011",
3094
 B"00010010", B"00100100", B"11101101", B"00110001", B"11010011",
3095
 B"11011101", B"00010001", B"10110111", B"11001101", B"11000011",
3096
 B"11011001", B"00011010", B"01000110", B"00110000", B"01000011",
3097
 B"01000001", B"00001000", B"00010111", B"00101101", B"00111010",
3098
 B"01000101", B"00000000", B"00000011", B"00110110", B"11001000",
3099
 B"00000001", B"11010100", B"00111010", B"00001001", B"11001010",
3100
 B"01000011", B"00100001", B"11100011", B"11011101", B"01001010",
3101
 B"11011111", B"11111001", B"00010010", B"11100000", B"00001100",
3102
 B"11000011", B"10101011", B"00101101", B"00000011", B"11100011",
3103
 B"00000111", B"11010101", B"11101101", B"11001111", B"11011101",
3104
 B"11101111", B"00010111", B"11001000", B"00000100", B"11111111",
3105
 B"11011001", B"11100110", B"11101010", B"11011111", B"00011000",
3106
 B"11100011", B"00011100", B"11101110", B"11100111", B"11011000",
3107
 B"01001110", B"00010111", B"00010110", B"11101100", B"11000001",
3108
 B"11001000", B"00100000", B"00100000", B"00111101", B"00100011",
3109
 B"00101111", B"00010100", B"11011001", B"11101001", B"00000000",
3110
 B"00111111", B"00010001", B"11110011", B"11011111", B"11010111",
3111
 B"11001100", B"00100111", B"00010000", B"11100000", B"01001101",
3112
 B"11101101", B"00010110", B"11010101", B"00100010", B"11011011",
3113
 B"11001111", B"11101010", B"00110100", B"00010010", B"11110101",
3114
 B"00010000", B"11110101", B"00111001", B"11100001", B"11100111",
3115
 B"00101000", B"00001101", B"11010010", B"00011101", B"11111011",
3116
 B"00100010", B"01010110", B"00111101", B"11100000", B"11100000",
3117
 B"11110111", B"11001100", B"00010010", B"11000101", B"11010011",
3118
 B"11110101", B"11110011", B"00011111", B"11011100", B"11100001",
3119
 B"01000010", B"00010111", B"00101111", B"00110011", B"11101010",
3120
 B"11011111", B"00001101", B"11000110", B"11100010", B"00100011",
3121
 B"11011011", B"11010001", B"11000001", B"11001000", B"11110111",
3122
 B"00010101", B"11110010", B"00000111", B"00011110", B"11110001",
3123
 B"11001110", B"11110111", B"00111001", B"00111110", B"00101101",
3124
 B"11110000", B"00110011", B"11001100", B"00111100", B"11000100",
3125
 B"11111101", B"00110011", B"00111010", B"00010100", B"00101011",
3126
 B"00000101", B"00101110", B"00101011", B"11010000", B"11100111",
3127
 B"01101101", B"11011101", B"11110110", B"11000001", B"10111000",
3128
 B"00001000", B"11110011", B"00101101", B"00110010", B"11101000",
3129
 B"11011000", B"10111101", B"10111010", B"11110010", B"00111100",
3130
 B"11111001", B"00101111", B"11111000", B"00010000", B"11010110",
3131
 B"00100001", B"11101101", B"00110001", B"11011111", B"01010100",
3132
 B"01001001", B"00001000", B"00100100", B"11111011", B"10110011",
3133
 B"11100110", B"11111001", B"11101100", B"00000111", B"00001110",
3134
 B"11001101", B"00011100", B"10111111", B"10110011", B"00110010",
3135
 B"00110000", B"00011000", B"10101010", B"00011001", B"11100111",
3136
 B"00001110", B"00010011", B"11110100", B"11100001", B"00100111",
3137
 B"11100011", B"11101001", B"11110011", B"11000100", B"11000011",
3138
 B"11011100", B"00100111", B"00001100", B"00100011", B"00011000",
3139
 B"11001011", B"11010110", B"11001001", B"00100010", B"00110100",
3140
 B"00001100", B"11011100", B"00111110", B"11011110", B"00000110",
3141
 B"00000100", B"11100101", B"00010101", B"00011001", B"00011101",
3142
 B"00100100", B"11001010", B"11011110", B"00111110", B"11110010",
3143
 B"11100111", B"11101000", B"11101000", B"00111001", B"11110100",
3144
 B"00011001", B"11111010", B"00110010", B"00110110", B"11010110",
3145
 B"11101111", B"11110100", B"11011010", B"11010101", B"11001010",
3146
 B"00010001", B"01010000", B"11101011", B"10110110", B"11001100",
3147
 B"11110010", B"11011001", B"00010001", B"11100010", B"11000000",
3148
 B"00100001", B"00001001", B"00001111", B"11011000", B"11010100",
3149
 B"11100110", B"00001100", B"11100101", B"00000000", B"11110010",
3150
 B"11101000", B"00110000", B"00010010", B"00010110", B"00011110",
3151
 B"00011110", B"11011011", B"00100110", B"10110110", B"00100000",
3152
 B"11100011", B"00000111", B"11100101", B"11000111", B"00001100",
3153
 B"11011111", B"11110100", B"11010101", B"11111110", B"01000111",
3154
 B"00000010", B"00010001", B"11101101", B"00100011", B"11001000",
3155
 B"00110100", B"11100101", B"00100100", B"11000101", B"00110111",
3156
 B"11111010", B"11101100", B"11001011", B"11111100", B"00111010",
3157
 B"11010111", B"00010111", B"00100100", B"01001000", B"10100101",
3158
 B"00100010", B"11100010", B"11111000", B"00001000", B"11001000",
3159
 B"00010101", B"11101010", B"11011000", B"11011011", B"00010000",
3160
 B"01001100", B"00110101", B"11011100", B"00101101", B"00001010",
3161
 B"11011101", B"11110100", B"00111001", B"00101011", B"00000101",
3162
 B"11101101", B"10101110", B"11111100", B"11110010", B"00001000",
3163
 B"11110011", B"00010011", B"00100011", B"00100001", B"00100111",
3164
 B"00110000", B"00110101", B"00111010", B"00000011", B"00001110",
3165
 B"00111000", B"11110011", B"11111100", B"11011010", B"11000101",
3166
 B"00101011", B"11101011", B"00001101", B"11111000", B"11011110",
3167
 B"01000100", B"11000010", B"00010011", B"11010101", B"11100010",
3168
 B"00101011", B"00010010", B"00011010", B"11110000", B"00100101",
3169
 B"00100110", B"11110111", B"11111111", B"00101001", B"00101111",
3170
 B"00010000", B"00110000", B"11100100", B"00111010", B"11101001",
3171
 B"11101011", B"11100001", B"00001110", B"11001011", B"00101010",
3172
 B"00111100", B"11100000", B"00101001", B"00010011", B"11011111",
3173
 B"00100101", B"00010001", B"10111011", B"11010011", B"00100110",
3174
 B"11111101", B"11111010", B"11101111", B"10111100", B"11110111",
3175
 B"11000110", B"00000001", B"00010010", B"11100100", B"01011011",
3176
 B"00010100", B"00100001", B"11101011", B"00001101", B"00100100",
3177
 B"11010101", B"00100000", B"00111001", B"11011010", B"11011010",
3178
 B"11110010", B"11110000", B"00101001", B"00101101", B"00000000",
3179
 B"11111111", B"10111011", B"11101110", B"00100010", B"00111000",
3180
 B"00000011", B"11011010", B"00100111", B"11111011", B"00001011",
3181
 B"00011010", B"11111101", B"11011011", B"01000010", B"11000011",
3182
 B"11111110", B"11000100", B"11110000", B"11010111", B"00110000",
3183
 B"00110100", B"11010100", B"00000011", B"11011111", B"11101010",
3184
 B"11110100", B"10111100", B"11100001", B"00010101", B"11011001",
3185
 B"11101100", B"11000101", B"10111011", B"11111001", B"00110000",
3186
 B"11010011", B"11101101", B"00110101", B"11101000", B"00010111",
3187
 B"00000000", B"11111001", B"00011111", B"11101110", B"11000111",
3188
 B"11001010", B"11100001", B"00100111", B"11100000", B"00101111",
3189
 B"11110101", B"00101100", B"11110011", B"00101110", B"11101100",
3190
 B"01001110", B"11101100", B"00011001", B"10110110", B"00010100",
3191
 B"11010110", B"11010100", B"10111001", B"00011110", B"00001101",
3192
 B"11100010", B"00001001", B"00011000", B"11000101", B"00110110",
3193
 B"00101100", B"11110000", B"11011110", B"00111111", B"00010011",
3194
 B"11101110", B"00000111", B"11100010", B"11001010", B"00001001",
3195
 B"10101001", B"01010101", B"00100101", B"00101000", B"11101101",
3196
 B"00001000", B"00011100", B"11101001", B"11000111", B"00101100",
3197
 B"11110011", B"00101100", B"00110011", B"00010001", B"11100100",
3198
 B"00101101", B"11101110", B"00001101", B"00001010", B"11011101",
3199
 B"11101111", B"00100000", B"10110111", B"11110110", B"11111000",
3200
 B"11001111", B"00110001", B"00101011", B"00010001", B"00110001",
3201
 B"00111110", B"00101101", B"00110001", B"00010111", B"11000010",
3202
 B"11110111", B"00100011", B"00100000", B"11001011", B"00011100",
3203
 B"00001010", B"00111011", B"11110110", B"11100010", B"01100010",
3204
 B"00010100", B"11100000", B"11000011", B"00010111", B"01001010",
3205
 B"11110000", B"11010011", B"00011110", B"11001000", B"00011110",
3206
 B"11011000", B"10110010", B"00111011", B"01000011", B"00000110",
3207
 B"11110110", B"00110000", B"00110110", B"11101111", B"11111011",
3208
 B"00010000", B"11100010", B"00000100", B"00001110", B"00001000",
3209
 B"00111010", B"11111111", B"11100000", B"00010010", B"11011110",
3210
 B"00011100", B"11010010", B"00101001", B"11000101", B"10100111",
3211
 B"00110101", B"00001010", B"00010111", B"00101110", B"00110001",
3212
 B"01000101", B"00010101", B"00001111", B"00010001", B"00100111",
3213
 B"11001011", B"11011010", B"11000101", B"11011110", B"00011000",
3214
 B"00001111", B"11011100", B"11111111", B"11010001", B"00111110",
3215
 B"01001001", B"11010101", B"00000011", B"11000001", B"11011011",
3216
 B"10111110", B"00100100", B"00100010", B"00011000", B"00101100",
3217
 B"00011010", B"00110111", B"00010010", B"00101011", B"11100001",
3218
 B"00010001", B"00001100", B"11011100", B"11110100", B"11111101",
3219
 B"00011101", B"11111101", B"00100111", B"11111100", B"00010001",
3220
 B"11100001", B"11001111", B"11010011", B"00000010", B"00111010",
3221
 B"00001010", B"11101101", B"11011101", B"10111011", B"11010110",
3222
 B"00110111", B"11110011", B"11111100", B"11011101", B"00001101",
3223
 B"00111011", B"00100111", B"11011100", B"11111010", B"00000000",
3224
 B"00000101", B"11100101", B"00000011", B"11011010", B"00011001",
3225
 B"00101101", B"00001000", B"11010111", B"10101100", B"00101100",
3226
 B"00001110", B"11110111", B"01001100", B"00001000", B"11100001",
3227
 B"11000001", B"00100010", B"00000111", B"00001111", B"00010000",
3228
 B"10111111", B"00101011", B"10100110", B"00011111", B"11001000",
3229
 B"00001110", B"00001011", B"11010010", B"00011000", B"00110111",
3230
 B"11010000", B"11011100", B"00000110", B"10111001", B"00110010",
3231
 B"11100011", B"00000110", B"11110110", B"00101111", B"00001100",
3232
 B"10110001", B"11100000", B"00101100", B"00100011", B"00100100",
3233
 B"11111000", B"11111000", B"10110000", B"00000001", B"00001110",
3234
 B"00011100", B"11101011", B"00001011", B"00101010", B"11100001",
3235
 B"00010100", B"00011010", B"01000001", B"00011010", B"00000011",
3236
 B"00011000", B"00100100", B"00010011", B"00011010", B"00110010",
3237
 B"00101011", B"11001111", B"00110010", B"01000010", B"00000100",
3238
 B"00001000", B"00101110", B"11100011", B"00000001", B"00010011",
3239
 B"11010010", B"00011011", B"00101010", B"00000100", B"11101111",
3240
 B"00001110", B"00010011", B"00111110", B"00001100", B"11100100",
3241
 B"00110111", B"11011100", B"00011111", B"00001010", B"00000101",
3242
 B"11011100", B"00110010", B"00101011", B"11010111", B"00010111",
3243
 B"00010011", B"11111000", B"11100110", B"00011010", B"11111110",
3244
 B"11011001", B"11100110", B"00111001", B"00010100", B"11011010",
3245
 B"00100001", B"00001111", B"00110100", B"11101011", B"00111101",
3246
 B"11001111", B"00001100", B"11001111", B"11010101", B"00000001",
3247
 B"00100101", B"01000001", B"00001010", B"00010101", B"11011101",
3248
 B"11101000", B"11101001", B"10111100", B"00101101", B"00001010",
3249
 B"00100110", B"00000111", B"11100100", B"11010110", B"11111110",
3250
 B"11100110", B"11010001", B"00101101", B"11010000", B"11001010",
3251
 B"11011111", B"11001000", B"11001011", B"00100101", B"11110011",
3252
 B"10111011", B"11101111", B"11100101", B"11011001", B"11101100",
3253
 B"00100110", B"11010100", B"00110101", B"00001100", B"11101111",
3254
 B"00100011", B"00111010", B"11001110", B"00101011", B"11101011",
3255
 B"00010100", B"11101000", B"11000001", B"11101011", B"00100011",
3256
 B"00000100", B"00000001", B"11010011", B"11101100", B"00100001",
3257
 B"11110111", B"00000101", B"00110010", B"11000111", B"11011110",
3258
 B"00110000", B"11001100", B"11111110", B"11111101", B"11100000",
3259
 B"00001010", B"00100110", B"00100011", B"00100011", B"00100100",
3260
 B"11011011", B"00100110", B"11001101", B"00100111", B"11011010",
3261
 B"00000101", B"11011000", B"11010101", B"00100101", B"11010011",
3262
 B"11010011", B"11000111", B"11110100", B"11111000", B"10111110",
3263
 B"00001001", B"11110100", B"11111101", B"00010110", B"00111101",
3264
 B"11111011", B"11111010", B"11011000", B"11100010", B"00010111",
3265
 B"11110011", B"11001010", B"00101100", B"11011101", B"00000001",
3266
 B"11100011", B"11101010", B"11111110", B"11110010", B"00010100",
3267
 B"11011110", B"00000011", B"11100101", B"11011101", B"10111010",
3268
 B"11010011", B"01001010", B"01010000", B"00100110", B"00101101",
3269
 B"11101111", B"11011100", B"11110100", B"11110010", B"00011110",
3270
 B"11101111", B"00110110", B"11100011", B"00111010", B"00000000",
3271
 B"00010101", B"11100100", B"00010101", B"11101100", B"00101000",
3272
 B"11010101", B"11100101", B"11011101", B"00000100", B"00110110",
3273
 B"11100000", B"00100010", B"11001101", B"11001101", B"00011000",
3274
 B"11010011", B"00011010", B"11101101", B"11001111", B"10110000",
3275
 B"00011001", B"00111101", B"00101010", B"00001010", B"00110011",
3276
 B"01000010", B"00000010", B"00011010", B"00101000", B"01000001",
3277
 B"11100001", B"11001011", B"00100101", B"00100110", B"00000101",
3278
 B"01000110", B"00000011", B"11100011", B"00011110", B"00101010",
3279
 B"11010111", B"11101101", B"00011001", B"00101100", B"11101100",
3280
 B"11111000", B"11111000", B"11111011", B"11111011", B"11000100",
3281
 B"11001000", B"11110101", B"11110100", B"11100000", B"00101001",
3282
 B"11000111", B"00010110", B"11110001", B"11011100", B"11111000",
3283
 B"00101101", B"00010110", B"00000111", B"11110110", B"00111010",
3284
 B"11011101", B"11010101", B"11101011", B"11110011", B"00111000",
3285
 B"11101110", B"11010101", B"00100001", B"00100010", B"00110010",
3286
 B"01000000", B"00000000", B"11000010", B"00110111", B"00110000",
3287
 B"00011000", B"01010010", B"00101110", B"00011100", B"00100100",
3288
 B"00010010", B"01011111", B"10111100", B"00010101", B"00110100",
3289
 B"11010000", B"00000011", B"00001001", B"11101011", B"11101011",
3290
 B"00010110", B"11101001", B"11101001", B"11001101", B"00110011",
3291
 B"00100011", B"11100000", B"11011111", B"00001000", B"11101011",
3292
 B"11101010", B"11110101", B"00011011", B"00011000", B"00001000",
3293
 B"01001011", B"01010000", B"11110110", B"11001101", B"00100101",
3294
 B"11010110", B"00010110", B"11100100", B"11011001", B"00101011",
3295
 B"00000010", B"11011100", B"11011111", B"00100000", B"00110111",
3296
 B"11011100", B"11100011", B"00100011", B"00110111", B"11101111",
3297
 B"11101010", B"11101000", B"11011111", B"11100001", B"10110101",
3298
 B"11100000", B"11000000", B"10111111", B"00011101", B"00101000",
3299
 B"00111010", B"00010100", B"00100100", B"11100001", B"11111001",
3300
 B"00011100", B"11111101", B"00101000", B"00011110", B"11110011",
3301
 B"11110001", B"00011100", B"00010101", B"11100111", B"00000100",
3302
 B"11100000", B"11011100", B"11101101", B"00011001", B"00011000",
3303
 B"00100010", B"11100100", B"00000010", B"00100011", B"11100010",
3304
 B"11100000", B"01000101", B"11111111", B"00110100", B"00001001",
3305
 B"00100110", B"00011110", B"00000110", B"00011110", B"11000100",
3306
 B"00011101", B"11100000", B"00011110", B"11011010", B"00010111",
3307
 B"00001001", B"00011111", B"11101011", B"00101111", B"00110011",
3308
 B"00010001", B"11000101", B"00101100", B"11011101", B"00011011",
3309
 B"00010100", B"11010010", B"10101011", B"00110011", B"11111001",
3310
 B"11011011", B"11011011", B"11011101", B"11000100", B"00011010",
3311
 B"01010111", B"00111001", B"11011101", B"00101100", B"11110101",
3312
 B"00010100", B"00111100", B"00100110", B"11110100", B"00101111",
3313
 B"01000000", B"00100010", B"00001110", B"00101100", B"11010110",
3314
 B"11111011", B"00001010", B"11001001", B"00100111", B"11010000",
3315
 B"11011111", B"00100110", B"00100101", B"11100111", B"00011111",
3316
 B"00101000", B"11100101", B"00100111", B"11111100", B"11010111",
3317
 B"11110100", B"11100001", B"00111010", B"00111111", B"00101100",
3318
 B"00011000", B"11111000", B"11000000", B"11100011", B"11010110",
3319
 B"11110100", B"00010010", B"00111011", B"11001110", B"00011011",
3320
 B"00001010", B"00011111", B"00101100", B"00010101", B"10111101",
3321
 B"11111111", B"11110111", B"00010111", B"11110010", B"00110010",
3322
 B"11110111", B"11110011", B"11001110", B"11101010", B"00101100",
3323
 B"11011100", B"11101100", B"00001111", B"00100111", B"00010001",
3324
 B"11100101", B"00100010", B"10110111", B"01000011", B"11001101",
3325
 B"00100011", B"10110011", B"00100011", B"11101101", B"11100110",
3326
 B"11101011", B"00001110", B"00001110", B"00100000", B"00010011",
3327
 B"00100100", B"11000010", B"00101011", B"11110111", B"00100011",
3328
 B"11010101", B"00010011", B"11110111", B"00101100", B"11110010",
3329
 B"11111001", B"11011111", B"00001101", B"11101100", B"11011010",
3330
 B"01000000", B"00111101", B"00100000", B"11101101", B"00011010",
3331
 B"00001001", B"00100001", B"11000101", B"00001011", B"11001000",
3332
 B"11010011", B"00101101", B"00010110", B"00101010", B"00101011",
3333
 B"11101000", B"00011100", B"01000000", B"00100000", B"11101010",
3334
 B"00100111", B"11000111", B"01011001", B"00001100", B"11101110",
3335
 B"11111000", B"11110110", B"11011011", B"00100000", B"11100000",
3336
 B"00100101", B"11111111", B"11100010", B"00011010", B"00100010",
3337
 B"00011001", B"00001011", B"11001011", B"11011101", B"11111111",
3338
 B"11010001", B"11011001", B"11000110", B"11011010", B"00100000",
3339
 B"11110110", B"00011101", B"11010001", B"00101110", B"11011010",
3340
 B"00011010", B"11101110", B"11001001", B"00100111", B"11111001",
3341
 B"00011100", B"00011100", B"00000100", B"11110001", B"00111110",
3342
 B"00000101", B"11010001", B"11100100", B"11110001", B"00000101",
3343
 B"11011011", B"00100000", B"11111101", B"11011010", B"11100101",
3344
 B"00110111", B"00011000", B"11111111", B"00101100", B"11111111",
3345
 B"00100001", B"11011111", B"11100001", B"00011010", B"01001011",
3346
 B"00010000", B"00000000", B"11011110", B"00001100", B"00011111",
3347
 B"00000011", B"11111000", B"00000001", B"00100111", B"11100110",
3348
 B"11010001", B"11010101", B"00111110", B"00101011", B"11000001",
3349
 B"00100001", B"11100001", B"00000101", B"00111011", B"11100101",
3350
 B"00101101", B"01010111", B"11010100", B"11100010", B"11010001",
3351
 B"00000010", B"11101101", B"00100010", B"00101011", B"00111101",
3352
 B"00101000", B"00100100", B"11101111", B"00111101", B"10100100",
3353
 B"00010101", B"10110011", B"11000100", B"01000111", B"11001010",
3354
 B"11111110", B"00000101", B"11111111", B"00011000", B"00010101",
3355
 B"11100001", B"11100101", B"11011111", B"01000111", B"00010001",
3356
 B"00101011", B"00001010", B"00110000", B"11011011", B"00101010",
3357
 B"00100011", B"11010010", B"11110001", B"00101010", B"11011010",
3358
 B"00100110", B"00001110", B"11101101", B"11100110", B"01000010",
3359
 B"00110000", B"00000011", B"11010000", B"11100111", B"11011110",
3360
 B"11001011", B"00011101", B"00000110", B"11111110", B"11101010",
3361
 B"00011011", B"00010100", B"11010101", B"11011111", B"11010001",
3362
 B"11010111", B"11001011", B"00010111", B"00011000", B"11101001",
3363
 B"00010100", B"11111010", B"00100011", B"10101110", B"00100101",
3364
 B"11011110", B"11010100", B"11011000", B"00000100", B"00011001",
3365
 B"00011011", B"11101011", B"11111111", B"11000100", B"11100011",
3366
 B"00001001", B"01001010", B"00000100", B"10110110", B"10101001",
3367
 B"00100010", B"00011011", B"00111101", B"00010111", B"11011000",
3368
 B"00010010", B"11011001", B"10111010", B"11011110", B"11100101",
3369
 B"00101111", B"00111010", B"00110100", B"01001100", B"00000010",
3370
 B"11011111", B"00011110", B"00011100", B"00011010", B"00100111",
3371
 B"10111010", B"11110100", B"11100000", B"11110011", B"00011100",
3372
 B"00011111", B"00010111", B"00100000", B"11101101", B"11000110",
3373
 B"00100011", B"00001101", B"00010011", B"11001000", B"00011011",
3374
 B"11011100", B"11101000", B"11001100", B"11110110", B"11101100",
3375
 B"11101111", B"00011101", B"00000101", B"11110010", B"01000000",
3376
 B"11110001", B"11101100", B"00101101", B"00000111", B"11111101",
3377
 B"11010101", B"00011011", B"11101010", B"00100011", B"00000011",
3378
 B"11001101", B"00000100", B"11111101", B"11100011", B"11101000",
3379
 B"00100111", B"00110000", B"11110011", B"11011100", B"11111001",
3380
 B"11000011", B"00100011", B"00001000", B"01000011", B"00010000",
3381
 B"00111010", B"11111110", B"00100001", B"11101001", B"11100001",
3382
 B"11011000", B"00010101", B"00010010", B"11010011", B"00100010",
3383
 B"11011001", B"11000101", B"11000010", B"00010001", B"00110111",
3384
 B"10111000", B"11100101", B"11001011", B"00011010", B"11011110",
3385
 B"00100111", B"11101011", B"10110110", B"00010100", B"11011111",
3386
 B"00100011", B"00000100", B"11100100", B"11001111", B"11011111",
3387
 B"11100101", B"11110001", B"11011001", B"00011011", B"11011110",
3388
 B"10100101", B"11011001", B"00100000", B"00100000", B"11101011",
3389
 B"11100111", B"11101010", B"11001111", B"11101110", B"00001010",
3390
 B"00110011", B"01010001", B"00011111", B"00010011", B"00100100",
3391
 B"00011001", B"11101000", B"00101111", B"11000110", B"00001111",
3392
 B"11111011", B"00001000", B"10101110", B"00110111", B"11010011",
3393
 B"11011101", B"11101111", B"00110111", B"00010011", B"00001111",
3394
 B"01000110", B"00010000", B"11001011", B"00100110", B"00001000",
3395
 B"00100111", B"10111000", B"11111101", B"11110111", B"00010010",
3396
 B"00100110", B"11001110", B"11111010", B"11101000", B"00010010",
3397
 B"11100001", B"00000100", B"00111100", B"00101010", B"00001101",
3398
 B"01000000", B"11011100", B"11110101", B"01000000", B"11000001",
3399
 B"11110001", B"01001001", B"11000111", B"11111011", B"11101101",
3400
 B"11001100", B"11011010", B"00101000", B"11011010", B"01001100",
3401
 B"11100110", B"11100110", B"01000001", B"00100111", B"11000110",
3402
 B"00100100", B"00001101", B"00011010", B"11000111", B"00100010",
3403
 B"00010110", B"00010010", B"11110101", B"00100100", B"11010110",
3404
 B"11111111", B"00010010", B"00111100", B"11010110", B"11100001",
3405
 B"11001010", B"11110111", B"11010100", B"11101000", B"00101000",
3406
 B"00000001", B"00101001", B"00110010", B"00110101", B"00011001",
3407
 B"11000001", B"11100100", B"00110010", B"00001101", B"11100110",
3408
 B"11100100", B"11111000", B"11010100", B"00010111", B"00110100",
3409
 B"00010000", B"00101101", B"11011001", B"10111101", B"11100000",
3410
 B"11011010", B"00010111", B"11010111", B"00001100", B"11010001",
3411
 B"11010110", B"00101100", B"11101100", B"11010011", B"00110011",
3412
 B"11101010", B"01000100", B"11101101", B"11010100", B"00010111",
3413
 B"10101111", B"00011010", B"10111100", B"11100000", B"11100011",
3414
 B"00100101", B"00111001", B"11110110", B"11100111", B"00100100",
3415
 B"00001010", B"00100000", B"00001111", B"11111000", B"00100100",
3416
 B"00101100", B"01000100", B"00110111", B"11110000", B"11101000",
3417
 B"00110011", B"00110100", B"00001000", B"11011100", B"11011010",
3418
 B"00011100", B"00100111", B"00011100", B"11011100", B"00011101",
3419
 B"11100101", B"01000100", B"00011101", B"00100001", B"00001001",
3420
 B"00000100", B"00100010", B"11100010", B"00001101", B"11110000",
3421
 B"11111010", B"00101011", B"11001110", B"11110011", B"00110101",
3422
 B"00110000", B"11001101", B"11110111", B"11101001", B"00100101",
3423
 B"11010010", B"00101010", B"11101110", B"11101001", B"00001111",
3424
 B"00100010", B"11001000", B"00111010", B"00111111", B"00111100",
3425
 B"11100010", B"01000010", B"11111011", B"11101101", B"01100100",
3426
 B"00101011", B"00011000", B"00010000", B"00001110", B"00100101",
3427
 B"00000110", B"00111100", B"00110110", B"00100111", B"11111100",
3428
 B"00111000", B"00101011", B"00010000", B"00101000", B"00011100",
3429
 B"00001100", B"11000011", B"11100011", B"11010100", B"11011001",
3430
 B"11111000", B"11101101", B"00010010", B"11100001", B"11101011",
3431
 B"11111011", B"11100001", B"00010011", B"11100000", B"11101000",
3432
 B"00111100", B"11000000", B"11010111", B"00101010", B"00101010",
3433
 B"01000011", B"01000010", B"11011000", B"10110100", B"10110001",
3434
 B"11010110", B"11100010", B"00010000", B"00101011", B"11100100",
3435
 B"00001110", B"11110101", B"11110010", B"11011000", B"11101100",
3436
 B"11101100", B"00011110", B"00101010", B"00011101", B"00111100",
3437
 B"00001001", B"00100010", B"11100001", B"00110011", B"00110000",
3438
 B"11010110", B"11101000", B"01000101", B"01000001", B"11000100",
3439
 B"11101010", B"00000000", B"11000000", B"00000110", B"00101011",
3440
 B"11110101", B"11001010", B"11101011", B"00101110", B"11001111",
3441
 B"00110100", B"11010111", B"11000001", B"00101001", B"11011110",
3442
 B"01000001", B"00001000", B"00011101", B"11100101", B"00001011",
3443
 B"11100100", B"00001110", B"11111110", B"00000001", B"11010011",
3444
 B"00010010", B"11101000", B"11011000", B"00001101", B"00100101",
3445
 B"11010101", B"00000111", B"11111101", B"11011111", B"11111001",
3446
 B"00111000", B"01000000", B"11011010", B"11110000", B"00110000",
3447
 B"00111100", B"11100011", B"11100100", B"11101001", B"11110110",
3448
 B"11001110", B"00110001", B"00100000", B"11101101", B"00010110",
3449
 B"01001101", B"11010011", B"11100001", B"00011010", B"11000110",
3450
 B"11011010", B"11011110", B"11011001", B"00101000", B"00011101",
3451
 B"00101010", B"00001111", B"11110100", B"00011000", B"11011000",
3452
 B"11110000", B"11101101", B"00110101", B"00101010", B"11111001",
3453
 B"00011100", B"11101001", B"11011001", B"11011111", B"11101011",
3454
 B"00101100", B"10110011", B"11111111", B"11111101", B"01000001",
3455
 B"11010010", B"00010100", B"11011101", B"11100111", B"00011000",
3456
 B"01001011", B"11100001", B"00011001", B"00100001", B"11101100",
3457
 B"11011111", B"10110101", B"11100000", B"11000001", B"11011001",
3458
 B"11110001", B"11101100", B"00101100", B"00011101", B"11010011",
3459
 B"00001011", B"11000111", B"11100110", B"11010010", B"11101011",
3460
 B"00101011", B"00111010", B"11010111", B"00011110", B"11110010",
3461
 B"00100100", B"11000101", B"11011101", B"11111111", B"11011000",
3462
 B"11000110", B"11001000", B"10101001", B"11111001", B"11010100",
3463
 B"00101011", B"11011010", B"00010111", B"11101110", B"11100110",
3464
 B"00010100", B"11110110", B"00010111", B"00001110", B"10111111",
3465
 B"11111010", B"11111100", B"11100100", B"11010100", B"00110000",
3466
 B"11010101", B"00100010", B"11100110", B"11000000", B"11011111",
3467
 B"01000000", B"00101100", B"00001001", B"11010011", B"00001110",
3468
 B"11010101", B"11001101", B"11111001", B"00101001", B"00110000",
3469
 B"11110010", B"11100011", B"00010011", B"11101111", B"00101001",
3470
 B"11011100", B"11001100", B"00101011", B"00010001", B"00001111",
3471
 B"00011001", B"11110101", B"11111111", B"11010001", B"11001111",
3472
 B"11100001", B"01000100", B"11101001", B"00011001", B"11101010",
3473
 B"11110101", B"11111001", B"00001101", B"00000110", B"00011100",
3474
 B"00000010", B"11111101", B"11001001", B"00101011", B"00110011",
3475
 B"11010100", B"11110101", B"11111101", B"00000110", B"00001010",
3476
 B"11010011", B"11100111", B"01000001", B"11101110", B"11101101",
3477
 B"00100101", B"11110011", B"00110111", B"11111010", B"11100000",
3478
 B"11101010", B"00101000", B"00001010", B"00001011", B"10110101",
3479
 B"11000001", B"00001011", B"11110010", B"11101011", B"11111010",
3480
 B"11101001", B"11110100", B"11010001", B"11001011", B"11110001",
3481
 B"00011011", B"00011110", B"00011101", B"00001010", B"11110001",
3482
 B"11011101", B"00100111", B"00000001", B"00100010", B"11101110",
3483
 B"11001001", B"00111100", B"00001011", B"00000100", B"00000111",
3484
 B"11111001", B"11100000", B"11111011", B"00011001", B"11010011",
3485
 B"00000000", B"11011101", B"11011000", B"10110001", B"11111001",
3486
 B"00111001", B"00110011", B"01011111", B"11110100", B"00100110",
3487
 B"11110001", B"11101001", B"11001100", B"00011110", B"00100010",
3488
 B"11110110", B"11011111", B"00110110", B"00100011", B"11010010",
3489
 B"11001100", B"11110011", B"11111110", B"11001010", B"11111110",
3490
 B"00110001", B"00101111", B"10011100", B"11101110", B"00001111",
3491
 B"11100100", B"11101111", B"00010011", B"11010001", B"00011000",
3492
 B"11111100", B"11000110", B"00110000", B"11100010", B"11110111",
3493
 B"11010111", B"00101101", B"00010001", B"00011111", B"11000110",
3494
 B"10101111", B"00100110", B"01000110", B"00011110", B"11110110",
3495
 B"00110011", B"11100001", B"11101001", B"11011111", B"00100111",
3496
 B"00101001", B"00011011", B"00110011", B"10110100", B"00000110",
3497
 B"00100111", B"11101101", B"11111010", B"00011000", B"11010000",
3498
 B"00000001", B"11111010", B"00100100", B"11110010", B"00011011",
3499
 B"11011111", B"11101110", B"11001110", B"00100100", B"11010000",
3500
 B"11001000", B"11100011", B"00101010", B"00100001", B"11110000",
3501
 B"00100111", B"11101000", B"00011100", B"00001011", B"11001110",
3502
 B"00110110", B"11111000", B"11100010", B"00011111", B"10110110",
3503
 B"11011111", B"11101010", B"11011001", B"01000000", B"11010011",
3504
 B"11111000", B"00010000", B"00101110", B"11111110", B"11101100",
3505
 B"11110011", B"00110001", B"11011001", B"11001010", B"11101011",
3506
 B"11011011", B"11111100", B"11000111", B"00110111", B"11010110",
3507
 B"11010001", B"11111000", B"11001011", B"00010001", B"11010000",
3508
 B"11110001", B"00101000", B"00010111", B"00110010", B"00000110",
3509
 B"00101001", B"00011100", B"00010001", B"11011100", B"11111101",
3510
 B"11010011", B"00101000", B"11101101", B"00001000", B"10110100",
3511
 B"00001001", B"11100011", B"11100111", B"10100101", B"00110100",
3512
 B"00100011", B"00001000", B"00011011", B"11010011", B"11001101",
3513
 B"01000101", B"00100100", B"10110011", B"11110010", B"11101000",
3514
 B"11100010", B"00101010", B"00011010", B"11010101", B"00001011",
3515
 B"11110100", B"00001101", B"11110101", B"11010100", B"00011000",
3516
 B"11110110", B"00010001", B"11110001", B"11001011", B"00011100",
3517
 B"00001000", B"00100011", B"00111011", B"11101001", B"00001100",
3518
 B"11100010", B"00110000", B"11010000", B"00100110", B"00101001",
3519
 B"00000000", B"00101100", B"00100000", B"00011101", B"00100001",
3520
 B"00110010", B"00111110", B"11001001", B"11100001", B"11001100",
3521
 B"11100100", B"00100110", B"11010111", B"00100111", B"11100100",
3522
 B"00000101", B"11110100", B"11111100", B"11100101", B"11100110",
3523
 B"01001101", B"11111010", B"11100010", B"00101100", B"00011101",
3524
 B"00010110", B"11001111", B"00101000", B"11100010", B"00001000",
3525
 B"00010011", B"00101010", B"11000101", B"00100100", B"01011111",
3526
 B"11101110", B"11100011", B"00011000", B"00011100", B"00101011",
3527
 B"00100111", B"00001110", B"00101101", B"01000011", B"00101101",
3528
 B"11110110", B"00110010", B"11111111", B"11001010", B"10111001",
3529
 B"00101000", B"00101110", B"11001100", B"11111111", B"00000001",
3530
 B"11100110", B"00010100", B"00010100", B"11101101", B"00110001",
3531
 B"00010011", B"11001000", B"00000010", B"11100110", B"00110111",
3532
 B"00011111", B"11010111", B"00101100", B"00100010", B"11100001",
3533
 B"01000101", B"00101010", B"00111110", B"00100100", B"11110000",
3534
 B"00110010", B"00010011", B"00011101", B"00111010", B"10111111",
3535
 B"11101101", B"11000111", B"11100000", B"00111001", B"11011111",
3536
 B"00100111", B"11110011", B"00010100", B"00000101", B"00101111",
3537
 B"11101101", B"00001000", B"11111001", B"00000110", B"00011010",
3538
 B"11011111", B"00011000", B"11100110", B"11001011", B"11011010",
3539
 B"00010011", B"00001110", B"11000110", B"00110111", B"00011100",
3540
 B"11011111", B"11100100", B"11101010", B"11010100", B"11101111",
3541
 B"11111001", B"11110000", B"11010011", B"11101100", B"00111110",
3542
 B"00001001", B"00000110", B"00011110", B"11001000", B"11100100",
3543
 B"11111110", B"00110001", B"00100010", B"11100110", B"00001011",
3544
 B"11001010", B"00001010", B"11111100", B"11100000", B"00111100",
3545
 B"00000000", B"11010011", B"11001110", B"00111110", B"00011111",
3546
 B"00001111", B"11011010", B"00011000", B"00011010", B"10110110",
3547
 B"11110011", B"01011010", B"00110110", B"00000010", B"00010101",
3548
 B"00010110", B"00011111", B"01001001", B"00001011", B"00011010",
3549
 B"10111000", B"11101011", B"00111010", B"00101101", B"00100001",
3550
 B"00101010", B"11001011", B"11100001", B"00100011", B"00110101",
3551
 B"11000101", B"00010010", B"00110000", B"11100101", B"10111111",
3552
 B"11110100", B"00101111", B"10111001", B"10111111", B"11001011",
3553
 B"11011110", B"00100111", B"11100011", B"00110001", B"01001100",
3554
 B"01001101", B"00100001", B"00101101", B"01010111", B"00001110",
3555
 B"11111001", B"00010001", B"11011101", B"11110011", B"11101111",
3556
 B"11101011", B"11101110", B"00001001", B"00011110", B"11001100",
3557
 B"11100110", B"11011000", B"11001011", B"00110100", B"00011011",
3558
 B"11110010", B"00011111", B"11101101", B"11010011", B"11001001",
3559
 B"00101000", B"11111100", B"00100111", B"00010111", B"11110011",
3560
 B"00111000", B"11101100", B"11011001", B"10111111", B"00000011",
3561
 B"11111010", B"11000000", B"00101001", B"11010011", B"00101010",
3562
 B"00010101", B"00110100", B"00011101", B"00110001", B"00011000",
3563
 B"00010010", B"00110011", B"00111101", B"00001001", B"11111111",
3564
 B"00110110", B"00100010", B"11110010", B"11001011", B"00011010",
3565
 B"10111011", B"00011000", B"00011110", B"11001100", B"00000101",
3566
 B"00010100", B"11000001", B"11011000", B"00101100", B"00011001",
3567
 B"11100111", B"11100010", B"11101111", B"00101010", B"11100000",
3568
 B"11100110", B"11111111", B"11110010", B"11010100", B"11011101",
3569
 B"00011010", B"01001010", B"00010101", B"00010011", B"11101111",
3570
 B"11110101", B"00000110", B"00010011", B"00100110", B"00100010",
3571
 B"11101100", B"11011100", B"00100110", B"01000011", B"00011111",
3572
 B"00110110", B"11110101", B"00100001", B"00011110", B"00110111",
3573
 B"00100110", B"11100101", B"11111001", B"11010101", B"10111101",
3574
 B"11100101", B"00110001", B"11101010", B"11101011", B"11011111",
3575
 B"01000100", B"11000111", B"11110111", B"11100110", B"10111011",
3576
 B"01010000", B"11101010", B"01000001", B"00011100", B"11010000",
3577
 B"00001101", B"10111101", B"00011001", B"11110100", B"11100100",
3578
 B"11000000", B"00000010", B"00011010", B"00101010", B"11011111",
3579
 B"00010111", B"11000110", B"00111110", B"00111011", B"00100000",
3580
 B"11110100", B"00100010", B"11011111", B"00100100", B"11010010",
3581
 B"11010000", B"11100001", B"00001101", B"11101101", B"00110001",
3582
 B"00000001", B"11101011", B"11111111", B"00000101", B"00011011",
3583
 B"00100110", B"11111001", B"00111001", B"11111101", B"00000001",
3584
 B"00011010", B"00011100", B"00101101", B"11011000", B"00011011",
3585
 B"00000100", B"11001100", B"11100000", B"11110100", B"00110000",
3586
 B"11001100", B"11010000", B"00011000", B"11010100", B"11100001",
3587
 B"11100111", B"11001011", B"11111001", B"00001101", B"11111000",
3588
 B"00000100", B"11111100", B"00100001", B"11110000", B"00011001",
3589
 B"00101011", B"00100100", B"11010000", B"00001101", B"11110011",
3590
 B"11101100", B"11010001", B"00110011", B"00011011", B"00001000",
3591
 B"11001011", B"11011001", B"00010110", B"11110000", B"11101100",
3592
 B"11110100", B"00010111", B"11111010", B"01000010", B"00011100",
3593
 B"11111111", B"00011100", B"00101001", B"11010011", B"00000011",
3594
 B"00011101", B"00110111", B"00010101", B"11110001", B"00110111",
3595
 B"11010111", B"00100110", B"11010000", B"00100111", B"11100000",
3596
 B"11100100", B"11101011", B"11101101", B"00011011", B"11000001",
3597
 B"00010110", B"00010110", B"00011001", B"11101011", B"00111001",
3598
 B"11101111", B"00110100", B"11010000", B"11101111", B"11000111",
3599
 B"00001101", B"11111011", B"00100110", B"11000000", B"11101010",
3600
 B"00100111", B"10101111", B"11000101", B"11001111", B"01000100",
3601
 B"00101000", B"11101011", B"00011000", B"11101011", B"11110010",
3602
 B"01010010", B"00101011", B"00110001", B"11010100", B"00011100",
3603
 B"10110100", B"00110101", B"00011011", B"00101101", B"00101100",
3604
 B"11001010", B"01000101", B"11010010", B"00000010", B"11000110",
3605
 B"00011110", B"00110110", B"11111111", B"11101100", B"00011100",
3606
 B"00000011", B"11110010", B"11110110", B"01010111", B"11110111",
3607
 B"00101000", B"00011001", B"11100011", B"00011101", B"00100001",
3608
 B"11100110", B"11001110", B"00010111", B"01001010", B"00000000",
3609
 B"11000011", B"11101110", B"11010111", B"11011001", B"00011100",
3610
 B"11101101", B"00010101", B"11100101", B"11011000", B"11010010",
3611
 B"00100110", B"00100000", B"11011111", B"00101110", B"00010101",
3612
 B"11100100", B"11100100", B"11011011", B"10111001", B"11101100",
3613
 B"00010110", B"00001011", B"11110111", B"00000001", B"00101100",
3614
 B"11111000", B"11010011", B"00010001", B"11111001", B"00011110",
3615
 B"11011000", B"00010101", B"11101111", B"11100011", B"00001001",
3616
 B"01000001", B"00101000", B"01001101", B"11111011", B"11010111",
3617
 B"00111000", B"11010110", B"00101101", B"11011011", B"00010000",
3618
 B"00100011", B"00110110", B"00100000", B"00101001", B"01000000",
3619
 B"00100111", B"00001000", B"11010011", B"00011101", B"11001101",
3620
 B"00011010", B"00001111", B"11111111", B"00101100", B"00100101",
3621
 B"11101010", B"11010110", B"00010010", B"00111101", B"01001101",
3622
 B"11101010", B"00111110", B"11100011", B"00111000", B"11010100",
3623
 B"11110101", B"00000000", B"11101100", B"00100000", B"00001011",
3624
 B"00011110", B"11111101", B"11011001", B"00001100", B"11100001",
3625
 B"00110111", B"11100010", B"11011011", B"00011001", B"11111101",
3626
 B"11111001", B"11100000", B"11011101", B"11111000", B"00011111",
3627
 B"00010110", B"00010101", B"11111001", B"11001101", B"11100001",
3628
 B"10111010", B"00001001", B"11101011", B"11111110", B"01011100",
3629
 B"00110111", B"11011111", B"00100001", B"00110000", B"11111101",
3630
 B"00101100", B"00100110", B"00000010", B"00011001", B"11111110",
3631
 B"00000100", B"11000101", B"00111001", B"00100111", B"00010001",
3632
 B"00101111", B"11010011", B"11011111", B"01000001", B"11001110",
3633
 B"00001110", B"11100011", B"11101101", B"00100010", B"11101001",
3634
 B"00001011", B"11111100", B"00011101", B"00110000", B"11010010",
3635
 B"00101110", B"11100010", B"00000111", B"11001100", B"00000011",
3636
 B"11010000", B"11011010", B"11011100", B"00001101", B"00100101",
3637
 B"00100110", B"11101010", B"10111111", B"11011000", B"11101000",
3638
 B"00011100", B"11101000", B"00010100", B"00100100", B"00011101",
3639
 B"11010001", B"11100001", B"00001111", B"00010000", B"00001010",
3640
 B"11110111", B"11011001", B"11010001", B"00101010", B"10110101",
3641
 B"00010100", B"11100110", B"11011110", B"00101110", B"11011010",
3642
 B"00000010", B"00101000", B"00110000", B"00100010", B"01001000",
3643
 B"11010001", B"00010100", B"11000011", B"00010111", B"11101001",
3644
 B"11011011", B"11110010", B"00000101", B"00010010", B"11110100",
3645
 B"11011101", B"11010000", B"10101101", B"00101001", B"00111100",
3646
 B"10110000", B"00101100", B"00000011", B"11100011", B"00000100",
3647
 B"00000100", B"00000100", B"00111000", B"11100000", B"00010101",
3648
 B"11101010", B"00110001", B"00011011", B"00011111", B"11011100",
3649
 B"00011101", B"10110011", B"00110010", B"11000000", B"00011111",
3650
 B"11010001", B"01001001", B"11011000", B"11011000", B"11011011",
3651
 B"00100011", B"11100110", B"11011010", B"11001010", B"11000001",
3652
 B"00010111", B"01001011", B"11001001", B"00101101", B"11101000",
3653
 B"11001011", B"00011001", B"00110101", B"00110101", B"11110010",
3654
 B"00010101", B"11011111", B"00001111", B"00010100", B"11011010",
3655
 B"11001000", B"11011101", B"11001110", B"00010011", B"11100000",
3656
 B"00111001", B"00011010", B"00001110", B"11001011", B"00011110",
3657
 B"00011001", B"11110110", B"10111010", B"00101100", B"01000010",
3658
 B"11110011", B"11010000", B"00110010", B"11011010", B"10111111",
3659
 B"11101111", B"11011011", B"00000101", B"11110101", B"10100111",
3660
 B"00010100", B"11110110", B"00110000", B"11000110", B"00010001",
3661
 B"11110101", B"00011111", B"11100011", B"11011010", B"11101001",
3662
 B"00001101", B"00110011", B"11011110", B"00001101", B"11001100",
3663
 B"00000011", B"00101100", B"11011100", B"00101100", B"00011101",
3664
 B"11111101", B"11011001", B"11101000", B"00001100", B"00011010",
3665
 B"00101100", B"11000111", B"00101111", B"11111111", B"00001100",
3666
 B"00010011", B"11011110", B"00000011", B"00010111", B"11100010",
3667
 B"11010100", B"11111101", B"00011110", B"00110001", B"00001000",
3668
 B"11000001", B"00011010", B"11100010", B"00101100", B"11011010",
3669
 B"11010010", B"11100110", B"11110100", B"00100000", B"11111010",
3670
 B"11000101", B"00110011", B"11011110", B"00011010", B"11100001",
3671
 B"11000010", B"00011110", B"11010111", B"11010000", B"00001100",
3672
 B"00001000", B"11101010", B"00110001", B"11000111", B"00001111",
3673
 B"11001111", B"11001001", B"00101111", B"00100101", B"00101100",
3674
 B"11101011", B"01000101", B"00010000", B"11011011", B"00000001",
3675
 B"00001010", B"00101101", B"11101111", B"00000010", B"00000100",
3676
 B"00110100", B"11101010", B"00010001", B"11101011", B"00001001",
3677
 B"00101001", B"11101010", B"11100011", B"00101111", B"00010001",
3678
 B"11011000", B"11101110", B"00000100", B"11110010", B"00001100",
3679
 B"11010110", B"00101111", B"11001100", B"11111011", B"00000010",
3680
 B"00110100", B"00110000", B"11101001", B"00100010", B"11111011",
3681
 B"11111010", B"11110111", B"00010111", B"00101111", B"11001001",
3682
 B"11100101", B"00100110", B"00010011", B"00010110", B"00111101",
3683
 B"11100001", B"11010011", B"00000101", B"01010110", B"00010101",
3684
 B"11101111", B"00010101", B"11010011", B"00001111", B"11111100",
3685
 B"11010001", B"00000010", B"00111010", B"11011011", B"11010000",
3686
 B"11010101", B"11000001", B"11101100", B"11100101", B"11000011",
3687
 B"00001111", B"00000100", B"00001100", B"11110001", B"11110010",
3688
 B"11111001", B"11001110", B"00111101", B"00011011", B"00000001",
3689
 B"11000101", B"00010010", B"11110101", B"01000100", B"11111110",
3690
 B"00100111", B"00000011", B"00111000", B"11110111", B"01000101",
3691
 B"11110110", B"00101110", B"11001001", B"11101100", B"11001000",
3692
 B"00111110", B"00011000", B"11111110", B"00110110", B"10111101",
3693
 B"00111001", B"10110110", B"01001110", B"11011011", B"11100110",
3694
 B"11111101", B"00000000", B"00101001", B"00110101", B"11100101",
3695
 B"00101110", B"01011101", B"00111100", B"00000101", B"00000101",
3696
 B"00011011", B"11100011", B"00110011", B"00110010", B"00011000",
3697
 B"11101110", B"00011101", B"11011110", B"00100111", B"11110100",
3698
 B"11100011", B"00011010", B"11100010", B"00001100", B"11111100",
3699
 B"00011011", B"11110100", B"11111111", B"11000011", B"00000100",
3700
 B"11011011", B"00011000", B"11011000", B"00000100", B"00110010",
3701
 B"00010100", B"11101011", B"00010011", B"11000000", B"11100000",
3702
 B"11011011", B"00000001", B"00101001", B"00001011", B"00010011",
3703
 B"00101010", B"10110100", B"00010100", B"11000010", B"11110111",
3704
 B"11101000", B"11000000", B"11101100", B"01000100", B"00000001",
3705
 B"00110001", B"00110110", B"11000001", B"11010110", B"11011000",
3706
 B"10111101", B"11111011", B"11011001", B"00110010", B"00000011",
3707
 B"11101110", B"11111011", B"11101110", B"11110000", B"11100110",
3708
 B"00000011", B"01001011", B"11001111", B"01011011", B"11001011",
3709
 B"00011100", B"11101111", B"01001001", B"00011101", B"11111010",
3710
 B"00100010", B"00111110", B"11110110", B"11110101", B"11001101",
3711
 B"00110010", B"11011011", B"00101001", B"11001100", B"10111000",
3712
 B"00101001", B"00001011", B"10110101", B"00011100", B"00100000",
3713
 B"11100100", B"00101011", B"00001101", B"11001100", B"11011011",
3714
 B"00010111", B"11010101", B"11001001", B"11000001", B"00011101",
3715
 B"00101101", B"11000111", B"00101010", B"00011101", B"11111001",
3716
 B"00110110", B"11111101", B"11010101", B"11100011", B"00100010",
3717
 B"11100000", B"11000001", B"11001001", B"00111011", B"00101000",
3718
 B"11010111", B"00000011", B"11010000", B"11100010", B"00000000",
3719
 B"00011001", B"00011110", B"00000000", B"00100010", B"11110101",
3720
 B"11111101", B"01000000", B"00001001", B"11110001", B"00001110",
3721
 B"00011011", B"11100010", B"11010010", B"00000001", B"11010100",
3722
 B"00001011", B"00101011", B"11011110", B"00100100", B"11100001",
3723
 B"11001100", B"00010010", B"00001101", B"00110011", B"00101101",
3724
 B"00000000", B"00010010", B"11010111", B"00011001", B"11110100",
3725
 B"00011101", B"11111110", B"11100101", B"11000110", B"11010101",
3726
 B"00110101", B"11100000", B"00111100", B"00000011", B"00011000",
3727
 B"00010010", B"11111001", B"01001001", B"11100111", B"00101101",
3728
 B"11011111", B"00110110", B"11100111", B"00111010", B"00110001",
3729
 B"11001001", B"00010011", B"00100111", B"11001001", B"00101001",
3730
 B"11101001", B"11101110", B"00100100", B"11110011", B"11010011",
3731
 B"11111110", B"11110011", B"00011011", B"11010101", B"00111001",
3732
 B"00011010", B"11011000", B"00100101", B"00010010", B"11110100",
3733
 B"00100011", B"11000010", B"11111001", B"00101101", B"11010111",
3734
 B"11010010", B"11001111", B"11011010", B"11010110", B"11110100",
3735
 B"11101001", B"00100101", B"00110101", B"00000101", B"00010111",
3736
 B"11101101", B"11001010", B"00111110", B"11001111", B"10111100",
3737
 B"11100110", B"00001101", B"11111111", B"11110011", B"11010100",
3738
 B"00100101", B"11001011", B"00001010", B"11001100", B"11011010",
3739
 B"00010011", B"00001010", B"00101001", B"11001111", B"00000111",
3740
 B"00000000", B"10111100", B"00010111", B"00001111", B"11011011",
3741
 B"11011000", B"00101111", B"11110100", B"11001110", B"11110100",
3742
 B"00110111", B"01000011", B"11011101", B"00011011", B"11010101",
3743
 B"00010111", B"00011011", B"11010101", B"10101010", B"11011000",
3744
 B"11110010", B"11111011", B"00010100", B"10110111", B"00011101",
3745
 B"11001110", B"00010101", B"00011000", B"00110011", B"01001100",
3746
 B"00010101", B"11010011", B"00001110", B"00010111", B"11011101",
3747
 B"11111010", B"00001100", B"11011001", B"11110101", B"00010010",
3748
 B"01000010", B"00010011", B"00010111", B"11101001", B"11101101",
3749
 B"00000001", B"11100001", B"11011011", B"00100011", B"00100100",
3750
 B"11110101", B"00110010", B"11000001", B"11110010", B"00100010",
3751
 B"11100110", B"11110011", B"11101010", B"00010111", B"11101110",
3752
 B"11000011", B"00100001", B"00010111", B"11110010", B"00011011",
3753
 B"00010001", B"11010001", B"11000111", B"00101100", B"00001101",
3754
 B"10111100", B"00111101", B"00011110", B"00010100", B"00100001",
3755
 B"11111000", B"11011001", B"00101011", B"11100110", B"00010111",
3756
 B"00100010", B"11110101", B"11011000", B"11000000", B"11100010",
3757
 B"00110010", B"00100001", B"11011001", B"11100010", B"00100000",
3758
 B"11111110", B"11001101", B"11001111", B"11110001", B"11110001",
3759
 B"11101110", B"00111001", B"00110001", B"11101000", B"00011110",
3760
 B"11101010", B"11100011", B"00111001", B"11100010", B"00011000",
3761
 B"11011100", B"11010011", B"00011001", B"00100100", B"11111000",
3762
 B"11100101", B"00010101", B"11100001", B"11000101", B"01000000",
3763
 B"11000110", B"00100100", B"00110111", B"11010101", B"11110110",
3764
 B"11110101", B"11011101", B"00000001", B"00000001", B"00101000",
3765
 B"00010011", B"00010101", B"11010000", B"00111101", B"00101011",
3766
 B"11110010", B"11100010", B"11110010", B"11000101", B"11100110",
3767
 B"00100100", B"11011010", B"00000111", B"11011110", B"11011001",
3768
 B"00000111", B"11011100", B"01001101", B"00001111", B"00000000",
3769
 B"11111000", B"00001000", B"11111011", B"00110110", B"11010111",
3770
 B"00000011", B"11000001", B"11011101", B"01001100", B"11110011",
3771
 B"01000100", B"00100111", B"11001000", B"00010100", B"11101011",
3772
 B"00011000", B"11000000", B"00000000", B"00011010", B"00010101",
3773
 B"11010000", B"11111101", B"00011110", B"10111100", B"00101000",
3774
 B"11001010", B"11011100", B"00011100", B"11010011", B"00111100",
3775
 B"01001110", B"11111100", B"11100001", B"11011110", B"11101001",
3776
 B"11101000", B"11101010", B"00110101", B"00110111", B"11100011",
3777
 B"00100101", B"11100011", B"11100000", B"11110010", B"00101010",
3778
 B"11100000", B"11100110", B"00001100", B"11000000", B"10110101",
3779
 B"11101001", B"11101000", B"11101101", B"00010101", B"11011110",
3780
 B"00110100", B"11000100", B"11101111", B"00001011", B"11101010",
3781
 B"00110101", B"00011000", B"11011010", B"11001101", B"11111111",
3782
 B"00100101", B"11100000", B"11110110", B"00011111", B"00010111",
3783
 B"11100001", B"11111000", B"00101010", B"11110011", B"11100110",
3784
 B"00011100", B"11001100", B"00100001", B"00010100", B"11001111",
3785
 B"11010110", B"11011010", B"11100011", B"11100100", B"00011110",
3786
 B"00001101", B"00000110", B"00011101", B"00100101", B"00011001",
3787
 B"00001111", B"00110111", B"00101011", B"11000110", B"00100001",
3788
 B"00000100", B"11101010", B"11101000", B"00011100", B"00111101",
3789
 B"11110000", B"11010001", B"00011100", B"00011000", B"11101111",
3790
 B"00101000", B"00001011", B"11110010", B"10110000", B"00010010",
3791
 B"11010001", B"00110000", B"11100110", B"11001100", B"11111100",
3792
 B"00000100", B"11110001", B"11011101", B"11111001", B"00101100",
3793
 B"00111100", B"11000011", B"00100011", B"11101011", B"11011011",
3794
 B"00110010", B"11111111", B"00010100", B"11111001", B"11100001",
3795
 B"11100100", B"11010111", B"00001010", B"11100100", B"11000001",
3796
 B"00001101", B"11010101", B"11110000", B"11011110", B"11110011",
3797
 B"11001000", B"00010111", B"11000100", B"00000000", B"11100011",
3798
 B"00111001", B"00000011", B"11011111", B"11100100", B"01000111",
3799
 B"00100010", B"00010110", B"11101100", B"00101001", B"11101000",
3800
 B"00111010", B"11011011", B"10110101", B"01000100", B"11100000",
3801
 B"00101000", B"00000110", B"11101001", B"00010000", B"11001111",
3802
 B"00011011", B"11010111", B"00110101", B"11011100", B"11010110",
3803
 B"00111101", B"11110000", B"00011111", B"00100000", B"11101111",
3804
 B"00110101", B"00010101", B"11000111", B"11010100", B"00110000",
3805
 B"11001100", B"11110101", B"11010110", B"11010001", B"00010110",
3806
 B"00011101", B"00010001", B"00111111", B"11010010", B"00101100",
3807
 B"00111001", B"00011010", B"11100010", B"00101010", B"11001111",
3808
 B"00101010", B"11111001", B"00101001", B"11001110", B"11000011",
3809
 B"11100111", B"00111100", B"00100101", B"11100101", B"00001000",
3810
 B"10111010", B"10111110", B"00101101", B"11100011", B"11010110",
3811
 B"11010001", B"11010100", B"00001010", B"01000010", B"00100100",
3812
 B"00100111", B"00000001", B"00100111", B"11011100", B"00110111",
3813
 B"11011110", B"00000010", B"11011010", B"00000111", B"11011010",
3814
 B"11011100", B"00110111", B"00101011", B"01000000", B"11111110",
3815
 B"11010100", B"00011011", B"00011001", B"11100010", B"11010101",
3816
 B"00111100", B"11011000", B"11010110", B"00100001", B"00010011",
3817
 B"01000100", B"00110110", B"11000111", B"10111001", B"00010001",
3818
 B"00101110", B"00010100", B"00001000", B"00011000", B"00010010",
3819
 B"00101000", B"01001101", B"11100111", B"00011011", B"00100111",
3820
 B"11011110", B"11010101", B"11110101", B"11101110", B"11100010",
3821
 B"00101101", B"11011011", B"11011100", B"00100111", B"11001111",
3822
 B"11001110", B"11011110", B"11011110", B"11101111", B"11100001",
3823
 B"11010110", B"00000001", B"00010011", B"00100101", B"00111010",
3824
 B"00011010", B"11000111", B"00001000", B"00110110", B"00101101",
3825
 B"11010100", B"00110001", B"11011010", B"00001101", B"00111111",
3826
 B"11010011", B"00110010", B"00011101", B"11001100", B"00000101",
3827
 B"00010000", B"10111000", B"11100110", B"11100000", B"11000010",
3828
 B"00000111", B"00111100", B"00101100", B"00011111", B"11111111",
3829
 B"11111111", B"11111110", B"11011110", B"11011001", B"00110011",
3830
 B"11011010", B"10101100", B"00000000", B"11110001", B"00110011",
3831
 B"11000000", B"11011001", B"10110000", B"00011011", B"00111001",
3832
 B"11110101", B"00000101", B"11100101", B"00000010", B"00010111",
3833
 B"00101011", B"00010000", B"00001011", B"00000100", B"11010101",
3834
 B"00010101", B"10110010", B"00000101", B"00001011", B"11011111",
3835
 B"00111010", B"01000010", B"00100001", B"00111001", B"00111011",
3836
 B"11101100", B"00100000", B"11011001", B"00100110", B"11101010",
3837
 B"00101101", B"00010010", B"11000001", B"11010001", B"11110111",
3838
 B"00010010", B"11011100", B"11011011", B"00010001", B"00100011",
3839
 B"11100110", B"11100010", B"11101011", B"11011010", B"01010100",
3840
 B"11101001", B"11110100", B"00010100", B"11100100", B"00001110",
3841
 B"11001110", B"00001001", B"00011101", B"11001100", B"01000111",
3842
 B"00011010", B"11011001", B"00011010", B"00011100", B"11011100",
3843
 B"11101101", B"00100010", B"00101011", B"11101001", B"10111111",
3844
 B"11011100", B"11010001", B"11111010", B"11111000", B"11101010",
3845
 B"11010111", B"00011111", B"11010100", B"00011010", B"11010111",
3846
 B"11111111", B"00000100", B"00011110", B"11011110", B"11101100",
3847
 B"00001011", B"00100001", B"11101111", B"00011110", B"11010000",
3848
 B"00011101", B"11101001", B"11011011", B"11001110", B"00101100",
3849
 B"00101010", B"11011101", B"00111001", B"11101000", B"00101001",
3850
 B"11110111", B"00110010", B"11111011", B"11100000", B"11110000",
3851
 B"00111100", B"00011101", B"11110001", B"00101011", B"11101111",
3852
 B"11110000", B"11001000", B"11100100", B"00110010", B"00100001",
3853
 B"11101000", B"11010001", B"00100100", B"00101001", B"01001000",
3854
 B"00101011", B"11101010", B"11010110", B"11010100", B"00011001",
3855
 B"10101110", B"01000110", B"11100100", B"11000000", B"01010100",
3856
 B"11111001", B"11011011", B"11101110", B"10011110", B"00000000",
3857
 B"00011011", B"11011001", B"00111101", B"11110100", B"11001101",
3858
 B"11001011", B"00011000", B"11010100", B"00100001", B"11100010",
3859
 B"11100110", B"00011110", B"00101000", B"00110001", B"00001000",
3860
 B"10111001", B"11011110", B"11100000", B"00110111", B"11011101",
3861
 B"11111000", B"11101001", B"00011111", B"11000001", B"11001110",
3862
 B"11011100", B"00100111", B"00001101", B"00011100", B"00101001",
3863
 B"11111111", B"11010001", B"00101011", B"11011001", B"00000100",
3864
 B"11111001", B"00110101", B"11111001", B"11100100", B"00010101",
3865
 B"00000011", B"10110110", B"11101001", B"11111110", B"11100111",
3866
 B"11010111", B"00000111", B"11010011", B"01000011", B"11100111",
3867
 B"10101011", B"00110011", B"11110010", B"11100000", B"11110011",
3868
 B"11100010", B"11111000", B"00000100", B"11110011", B"00110100",
3869
 B"11011101", B"11010011", B"00010110", B"00001110", B"00100001",
3870
 B"00101010", B"11101001", B"11100110", B"11110000", B"11101000",
3871
 B"11111000", B"11100010", B"00000110", B"00101110", B"01001101",
3872
 B"00110111", B"11010111", B"11010101", B"11110000", B"10110111",
3873
 B"11110001", B"01000010", B"00100000", B"00010110", B"00100010",
3874
 B"00101110", B"11101001", B"11011110", B"11110000", B"00100111",
3875
 B"11000001", B"10110111", B"11110101", B"11001000", B"00000111",
3876
 B"11001100", B"11100110", B"00110010", B"10110110", B"00100010",
3877
 B"00100011", B"00000001", B"00110100", B"00111110", B"11111000",
3878
 B"11111010", B"00011100", B"00100100", B"00110111", B"11010100",
3879
 B"11010101", B"00110101", B"11010110", B"00001011", B"11101110",
3880
 B"11100110", B"00100100", B"11011101", B"00110011", B"00010001",
3881
 B"10111000", B"00000111", B"00101010", B"11111110", B"11000001",
3882
 B"00010000", B"11111100", B"11000100", B"11011000", B"11110101",
3883
 B"10110110", B"11110100", B"11101011", B"00000110", B"00000101",
3884
 B"11100000", B"11011001", B"11000110", B"11101011", B"11001110",
3885
 B"01001011", B"11000111", B"00011100", B"00011000", B"11011111",
3886
 B"00011110", B"00101001", B"11011110", B"00011111", B"00000011",
3887
 B"11111010", B"01011010", B"00101101", B"10111100", B"11111101",
3888
 B"00100100", B"10110010", B"00110001", B"11000101", B"11101000",
3889
 B"11000111", B"00000101", B"11110100", B"00011001", B"00101110",
3890
 B"01010100", B"10110101", B"00101111", B"00100000", B"11101010",
3891
 B"11101010", B"00000010", B"11101011", B"11110010", B"00010100",
3892
 B"11111010", B"00001101", B"11000000", B"11101101", B"00010010",
3893
 B"01010011", B"00010010", B"00100101", B"01010010", B"00001101",
3894
 B"00010000", B"00011100", B"00101100", B"00001010", B"00001011",
3895
 B"11110011", B"00000001", B"00000110", B"11100011", B"00110101",
3896
 B"11110101", B"00001011", B"11100010", B"00000101", B"00101101",
3897
 B"11101100", B"00011111", B"11000101", B"00000010", B"11101111",
3898
 B"11001101", B"11011001", B"11001010", B"00111111", B"01001101",
3899
 B"00000110", B"00100101", B"11101011", B"10111100", B"00011010",
3900
 B"11011110", B"00101100", B"11001100", B"11001000", B"00110101",
3901
 B"00100000", B"00000111", B"11001100", B"11101111", B"00001001",
3902
 B"00000101", B"11001000", B"11001100", B"00010010", B"11011110",
3903
 B"11100110", B"11011000", B"10111000", B"00110100", B"10101110",
3904
 B"11110101", B"11000010", B"11111001", B"00100011", B"00011100",
3905
 B"00110011", B"01000111", B"11101000", B"11110011", B"11100100",
3906
 B"11100010", B"11110110", B"00000100", B"01000010", B"11011111",
3907
 B"00101010", B"00000011", B"11011110", B"00011001", B"11110000",
3908
 B"11100110", B"10111010", B"00000001", B"00011100", B"11110111",
3909
 B"11101011", B"11011000", B"00001111", B"11100100", B"00101001",
3910
 B"10111111", B"11101101", B"00001101", B"00101000", B"00101100",
3911
 B"00011001", B"11010011", B"00101111", B"11011110", B"00011001",
3912
 B"10111111", B"10100111", B"11010110", B"00010000", B"11111111",
3913
 B"00110001", B"11010101", B"11100010", B"00001000", B"00110111",
3914
 B"00110101", B"11011101", B"11001110", B"00100000", B"00101011",
3915
 B"11010000", B"11100010", B"00100011", B"00011101", B"10110111",
3916
 B"00110111", B"11101011", B"11110000", B"11110011", B"00100111",
3917
 B"11011101", B"00000011", B"11110010", B"11011101", B"00000011",
3918
 B"00010011", B"00110111", B"11001000", B"00100000", B"00011011",
3919
 B"00001001", B"11011100", B"00000011", B"00100100", B"11001111",
3920
 B"11001100", B"00110000", B"00110100", B"01000110", B"10111001",
3921
 B"00111100", B"11101101", B"00100100", B"11110100", B"11110000",
3922
 B"11000100", B"00100100", B"11110101", B"00010110", B"00001101",
3923
 B"11101101", B"11000001", B"00100101", B"00000111", B"00100000",
3924
 B"11010100", B"00011100", B"11011011", B"00101010", B"11011110",
3925
 B"11101001", B"00100011", B"11001110", B"11100011", B"11000111",
3926
 B"00011000", B"00101001", B"10111111", B"00100100", B"00001010",
3927
 B"11011111", B"11001110", B"11100101", B"00100001", B"11100111",
3928
 B"00100001", B"00010110", B"11011110", B"00110110", B"11110111",
3929
 B"11100011", B"11011110", B"00101101", B"00100011", B"11000001",
3930
 B"00011101", B"11011111", B"00010011", B"11000011", B"10111111",
3931
 B"00011100", B"00001011", B"00001101", B"00111010", B"11010010",
3932
 B"11111111", B"10110001", B"11111111", B"00110011", B"00010010",
3933
 B"00011001", B"00101100", B"00100101", B"11011111", B"00111100",
3934
 B"11110101", B"00101101", B"11110110", B"00110100", B"00011100",
3935
 B"11001111", B"10100011", B"00001011", B"00100001", B"11011101",
3936
 B"10111110", B"11011010", B"11100111", B"11100100", B"00100100",
3937
 B"00101101", B"11101111", B"00100111", B"10111100", B"00111111",
3938
 B"00111111", B"00011100", B"11110010", B"01000110", B"00100101",
3939
 B"00111110", B"00001001", B"11001001", B"11011101", B"00111010",
3940
 B"00101011", B"00100100", B"00100000", B"11110000", B"11111011",
3941
 B"00110001", B"11010111", B"00011001", B"10111110", B"11011111",
3942
 B"00100100", B"11111010", B"00100101", B"00010010", B"00010010",
3943
 B"01000111", B"11011110", B"00110011", B"11110000", B"00010011",
3944
 B"11011110", B"11111100", B"00111010", B"00011011", B"00101101",
3945
 B"11110011", B"00011010", B"11100001", B"00101110", B"00010111",
3946
 B"00011011", B"11101110", B"01000000", B"00010100", B"00010010",
3947
 B"11011110", B"00100001", B"01101001", B"00010100", B"00101011",
3948
 B"00101010", B"00100111", B"00100011", B"00011011", B"00101101",
3949
 B"11101101", B"11010010", B"11100110", B"10110000", B"00111010",
3950
 B"00010100", B"00101101", B"00011100", B"00111100", B"00101111",
3951
 B"11101110", B"00101101", B"01000001", B"11100001", B"11011110",
3952
 B"00101001", B"11111100", B"11101011", B"11011011", B"00101001",
3953
 B"00101110", B"10111111", B"00000010", B"11011100", B"00100011",
3954
 B"11010101", B"11100011", B"11011110", B"11110001", B"00010100",
3955
 B"11100010", B"00001010", B"00001001", B"11001010", B"00111110",
3956
 B"11111001", B"00111000", B"11111011", B"11100001", B"00110000",
3957
 B"00101110", B"00111111", B"11011000", B"11111010", B"00100011",
3958
 B"00111010", B"10101101", B"11110000", B"00000100", B"11101110",
3959
 B"00011101", B"11111000", B"00001000", B"11100110", B"11011111",
3960
 B"00000111", B"10110100", B"00100000", B"00010001", B"11111100",
3961
 B"11111011", B"11001110", B"11110010", B"11000101", B"00111001",
3962
 B"00011101", B"00100001", B"10101111", B"00101000", B"11011111",
3963
 B"00111100", B"11111110", B"01000110", B"00000101", B"11101011",
3964
 B"11000011", B"11101000", B"00001000", B"11101100", B"00001100",
3965
 B"11001111", B"00101101", B"11011010", B"10111010", B"11011011",
3966
 B"00100001", B"00011110", B"11011111", B"00110100", B"00000001",
3967
 B"00011010", B"11111100", B"11101100", B"11111001", B"00000001",
3968
 B"01000010", B"00101101", B"11110000", B"11100010", B"11001000",
3969
 B"00011000", B"00010101", B"11101101", B"00001001", B"00100011",
3970
 B"00010011", B"11011111", B"00010000", B"00010010", B"10101010",
3971
 B"11110011", B"11111101", B"00100111", B"11100011", B"00010001",
3972
 B"00010101", B"11101100", B"00001111", B"00001100", B"11011010",
3973
 B"00110100", B"01000010", B"11100001", B"11111101", B"00100000",
3974
 B"11111010", B"11001100", B"11011101", B"11100000", B"00010111",
3975
 B"10111110", B"11011001", B"11110000", B"00101000", B"00011010",
3976
 B"11100000", B"11001001", B"11010110", B"00001101", B"00100000",
3977
 B"00101110", B"11101011", B"00000100", B"11111010", B"10111111",
3978
 B"10111010", B"11011101", B"00110011", B"00001001", B"11101110",
3979
 B"00110010", B"11101000", B"11100001", B"11001011", B"11111011",
3980
 B"00100000", B"00010101", B"00001111", B"00001010", B"00000011",
3981
 B"11110101", B"11110111", B"00111011", B"11110011", B"00110110",
3982
 B"11100101", B"11001001", B"00100110", B"11010011", B"11000100",
3983
 B"11100111", B"00101011", B"11111101", B"11010010", B"00101110",
3984
 B"11100110", B"11110000", B"00001010", B"00101111", B"11001100",
3985
 B"11000011", B"11101001", B"11000100", B"11010100", B"11100011",
3986
 B"00011100", B"11101001", B"00000011", B"10111010", B"00100111",
3987
 B"00101001", B"11010111", B"00010100", B"10111001", B"11101001",
3988
 B"00001110", B"11110100", B"00111100", B"00101001", B"11111000",
3989
 B"01000101", B"00010100", B"11011111", B"11010101", B"00000101",
3990
 B"11111000", B"11101001", B"00001000", B"11110111", B"00010001",
3991
 B"00000101", B"11010000", B"11100011", B"10101100", B"11011110",
3992
 B"11100110", B"00110011", B"00101100", B"11110010", B"11011101",
3993
 B"00100111", B"00001000", B"00000101", B"11011101", B"11111011",
3994
 B"11111011", B"11001100", B"11111000", B"00111100", B"00010110",
3995
 B"00011110", B"00011011", B"00000100", B"11001001", B"00010001",
3996
 B"00010001", B"11011101", B"00101010", B"00100111", B"11100110",
3997
 B"11110000", B"00011100", B"00010111", B"00101011", B"11100000",
3998
 B"00100000", B"11101111", B"00000110", B"11010000", B"11110010",
3999
 B"11011010", B"00110111", B"11101110", B"11100111", B"00011101",
4000
 B"11010100", B"11011010", B"01010101", B"00101000", B"11001101",
4001
 B"11101001", B"11100101", B"11111111", B"11111001", B"11110110",
4002
 B"10110011", B"11011100", B"11011100", B"00111111", B"00111010",
4003
 B"00101111", B"00100110", B"10111111", B"11111111", B"11011111",
4004
 B"00100011", B"00100111", B"11010111", B"00111110", B"11100110",
4005
 B"11001110", B"11001100", B"00110110", B"00100001", B"00011100",
4006
 B"00000110", B"11100000", B"11011100", B"11100100", B"00011100",
4007
 B"11001011", B"11000010", B"11101000", B"00100101", B"00011000",
4008
 B"00000010", B"00010001", B"11010000", B"11010111", B"11011100",
4009
 B"11110001", B"00101000", B"11011011", B"00111110", B"11001011",
4010
 B"00100110", B"00001100", B"11011000", B"11110110", B"11010000",
4011
 B"00000011", B"11110101", B"00010101", B"00111101", B"00110010",
4012
 B"00111111", B"00010001", B"00011101", B"00000111", B"00101110",
4013
 B"00001111", B"11010001", B"00011110", B"00101101", B"11111000",
4014
 B"00001000", B"00001001", B"11010110", B"11110000", B"00001000",
4015
 B"11010101", B"00100000", B"11111001", B"11100111", B"00101010",
4016
 B"00100011", B"00100110", B"11100011", B"00000000", B"11010101",
4017
 B"11011111", B"00001001", B"00110101", B"00101001", B"01000111",
4018
 B"00010010", B"11100110", B"00110011", B"11110101", B"11100111",
4019
 B"11010101", B"11011001", B"11100000", B"11111010", B"00100001",
4020
 B"11001011", B"11101010", B"11001011", B"11110111", B"11011001",
4021
 B"00011111", B"11011111", B"11011000", B"00100010", B"11111100",
4022
 B"00001011", B"11000101", B"11100101", B"00010010", B"11010100",
4023
 B"10111110", B"11100010", B"11000000", B"00010111", B"11101111",
4024
 B"00101000", B"11110001", B"11101100", B"11111000", B"11001110",
4025
 B"00010011", B"00111101", B"11111011", B"00111001", B"00001000",
4026
 B"10101011", B"11010110", B"11110101", B"11111000", B"00110001",
4027
 B"11101010", B"00010101", B"00110100", B"11011101", B"11010111",
4028
 B"00011100", B"11000111", B"11010101", B"11000101", B"00011110",
4029
 B"11011111", B"00111111", B"01010001", B"00011110", B"11001001",
4030
 B"00010100", B"11110110", B"00110101", B"11111100", B"11010101",
4031
 B"00101000", B"11011100", B"00100000", B"11000100", B"11010111",
4032
 B"00011001", B"00111011", B"00001011", B"11001010", B"11100001",
4033
 B"00101111", B"00011111", B"11000110", B"11100001", B"00101011",
4034
 B"11101110", B"11110111", B"11001111", B"11010000", B"00001110",
4035
 B"11100010", B"00101101", B"11000100", B"11111011", B"00101101",
4036
 B"11111101", B"00100000", B"11001001", B"11111000", B"00010101",
4037
 B"11100000", B"00101111", B"00000000", B"11111100", B"00000000",
4038
 B"00001011", B"11110110", B"10111111", B"11111100", B"01000011",
4039
 B"00101010", B"00100000", B"00110101", B"00110011", B"00110000",
4040
 B"00010011", B"00100100", B"00110011", B"00010101", B"00010110",
4041
 B"00100100", B"00100010", B"11110011", B"00011111", B"10111000",
4042
 B"11111111", B"00011111", B"11100111", B"00110011", B"11011000",
4043
 B"11100000", B"00000111", B"00011101", B"11000101", B"00011110",
4044
 B"00100000", B"11011000", B"00010111", B"00011101", B"11101000",
4045
 B"11010001", B"11001011", B"11101010", B"11111101", B"00110010",
4046
 B"11110100", B"00101000", B"11001110", B"11110010", B"11100000",
4047
 B"11010011", B"00101100", B"11011000", B"11110011", B"11001101",
4048
 B"11100001", B"11100000", B"00010101", B"11100111", B"00101111",
4049
 B"11001011", B"11101111", B"00010000", B"00000110", B"11100100",
4050
 B"11110110", B"00100101", B"01000000", B"11100100", B"00101100",
4051
 B"00011110", B"11000111", B"11011100", B"10100000", B"00010000",
4052
 B"00000001", B"00011100", B"00101001", B"00101011", B"00101100",
4053
 B"11001000", B"11111100", B"11000110", B"00010011", B"11000011",
4054
 B"11101110", B"00100100", B"00101101", B"11001101", B"11010100",
4055
 B"00010111", B"11001000", B"00100000", B"11000001", B"11001010",
4056
 B"00011001", B"11100011", B"11100001", B"11111110", B"11110011",
4057
 B"00011111", B"11011001", B"11100111", B"00000100", B"00010110",
4058
 B"11100001", B"11100101", B"01010100", B"11010111", B"11101110",
4059
 B"10111111", B"11101000", B"11010100", B"11101101", B"00011000",
4060
 B"00101101", B"00011111", B"01001001", B"11110110", B"10111111"
4061
 
4062
);
4063
 
4064
signal input_counter : integer range 0 to 19999 := 0;
4065
signal start_fifo    : bit_vector (7 downto 0) := ( B"0100_0000" );
4066
signal clk : bit;
4067
 
4068
begin
4069
 
4070
process (clk, clear)
4071
begin
4072
if (clear = '1') then
4073
    rxin <= (others => '0');
4074
elsif (clk = '1' and clk'event) then
4075
    rxin <= input_bank(input_counter);
4076
end if;
4077
end process;
4078
 
4079
process (clk, clear)
4080
begin
4081
if (clear = '1') then
4082
    input_counter <= 0;
4083
elsif (clk = '1' and clk'event) then
4084
    if (input_counter < 19999) then
4085
    input_counter <= input_counter + 1;
4086
    else
4087
    input_counter <= 0;
4088
    end if;
4089
end if;
4090
end process;
4091
 
4092
rom_pos <= input_counter;
4093
 
4094
process (clk, clear)
4095
begin
4096
if (clear = '1') then
4097
    start_fifo <= B"0100_0000";
4098
elsif ( clk = '1' and clk'event) then
4099
    start_fifo <= start_fifo (6 downto 0) & start_fifo (7);
4100
end if;
4101
end process;
4102
 
4103
clk   <= clock;
4104
start <= start_fifo (7);
4105
 
4106
end test_bench;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.