OpenCores
URL https://opencores.org/ocsvn/product_code_iterative_decoder/product_code_iterative_decoder/trunk

Subversion Repositories product_code_iterative_decoder

[/] [product_code_iterative_decoder/] [trunk/] [xilinx/] [input6DB.vhdl] - Blame information for rev 14

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 9 arif_endro
-- $Id: input6DB.vhdl,v 1.1 2006-01-16 03:40:22 arif_endro Exp $
2
-------------------------------------------------------------------------------
3
-- Title       :
4
-- Project     : 
5
-------------------------------------------------------------------------------
6
-- File        :
7
-- Author      : "Arif E. Nugroho" <arif_endro@yahoo.com>
8
-- Created     : 2005/12/18
9
-- Last update : 
10
-- Simulators  :
11
-- Synthesizers: ISE Xilinx 6.3i
12
-- Target      : 
13
-------------------------------------------------------------------------------
14
-- Description : 
15
-------------------------------------------------------------------------------
16 14 arif_endro
-- Copyright (C) 2005 Arif Endro Nugroho
17 9 arif_endro
-------------------------------------------------------------------------------
18
-- 
19
--      THIS SOURCE FILE MAY BE USED AND DISTRIBUTED WITHOUT RESTRICTION
20
-- PROVIDED THAT THIS COPYRIGHT STATEMENT IS NOT REMOVED FROM THE FILE AND THAT
21
-- ANY DERIVATIVE WORK CONTAINS THE ORIGINAL COPYRIGHT NOTICE AND THE
22
-- ASSOCIATED DISCLAIMER.
23
-- 
24
-------------------------------------------------------------------------------
25
-- 
26
--      THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY EXPRESS OR
27
-- IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF
28
-- MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED.  IN NO
29
-- EVENT SHALL THE AUTHOR BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL,
30
-- SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO,
31
-- PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS;
32
-- OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY,
33
-- WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR
34
-- OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
35
-- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
36
-- 
37
-------------------------------------------------------------------------------
38
 
39
library ieee;
40
use ieee.std_logic_1164.all;
41
use ieee.std_logic_arith.all;
42
use ieee.std_logic_unsigned.all;
43
 
44
entity input is
45
   port (
46
      clock   : in  bit;
47
      clear   : in  bit;
48
      start   : out bit;
49
      rom_pos : out integer;
50
      rxin    : out bit_vector (07 downto 00)
51
      );
52
end input;
53
 
54
architecture test_bench of input is
55
 
56
type rom_bank is array ( 00000 to 19999 ) of bit_vector (7 downto 0);
57
 
58
constant input_bank : rom_bank :=
59
(
60
 
61
 B"00110111", B"00100110", B"11100011", B"00101110", B"00100101",
62
 B"11100111", B"11110011", B"00011110", B"00101100", B"00100001",
63
 B"00010111", B"11010110", B"00110010", B"11100101", B"00000001",
64
 B"11010110", B"11011110", B"11011101", B"00010100", B"11010011",
65
 B"00001001", B"11011110", B"10101000", B"00010011", B"11010110",
66
 B"00011111", B"11011011", B"11101001", B"11101100", B"00110111",
67
 B"00100101", B"11010110", B"11101101", B"00010111", B"00011001",
68
 B"00010010", B"11100110", B"00100010", B"11101001", B"00101101",
69
 B"11111000", B"00101000", B"11010110", B"11100110", B"11010110",
70
 B"00010001", B"00011001", B"00001001", B"11000110", B"11110000",
71
 B"00011110", B"11001101", B"00100011", B"11001011", B"11100101",
72
 B"00001011", B"11010100", B"11111001", B"00101110", B"00001011",
73
 B"00101000", B"00010010", B"11101000", B"11100000", B"00100101",
74
 B"00011010", B"11100100", B"00010010", B"00100101", B"11100001",
75
 B"11010111", B"00100110", B"00100001", B"00010101", B"11101011",
76
 B"11101110", B"00111011", B"00000110", B"11110010", B"11101100",
77
 B"11011111", B"00000101", B"00001110", B"00000100", B"00100110",
78
 B"00011010", B"11110011", B"11111001", B"11000111", B"00111010",
79
 B"11101000", B"00011010", B"11100101", B"11101101", B"00011011",
80
 B"00001101", B"11101101", B"00001011", B"00110000", B"11101111",
81
 B"11001110", B"11011100", B"11011000", B"11000110", B"11100111",
82
 B"11010010", B"11001100", B"11011110", B"00011100", B"00010110",
83
 B"00001110", B"00010000", B"00011111", B"11100011", B"11001010",
84
 B"00010110", B"11100111", B"11010100", B"11101010", B"11111111",
85
 B"00000010", B"00110000", B"11100101", B"00010100", B"00100101",
86
 B"11101000", B"11010010", B"00011100", B"11100101", B"11100000",
87
 B"00101100", B"00011000", B"00110010", B"00001010", B"11011101",
88
 B"11010111", B"11101100", B"00100111", B"00010110", B"00010011",
89
 B"11111001", B"00011000", B"11111000", B"00001000", B"00010101",
90
 B"00001110", B"00100011", B"11101111", B"00010010", B"11011001",
91
 B"00101101", B"11001101", B"11011111", B"00011010", B"11001011",
92
 B"01001011", B"11011100", B"11011000", B"00100000", B"00100011",
93
 B"00010111", B"11011110", B"11100111", B"00011001", B"11010110",
94
 B"11001011", B"11110110", B"11110100", B"11010110", B"00001101",
95
 B"11011100", B"00000001", B"11101111", B"00001010", B"00001010",
96
 B"11011110", B"01000010", B"11010101", B"00110100", B"11010011",
97
 B"11101111", B"11001100", B"00011110", B"00010001", B"00101001",
98
 B"00010100", B"00101111", B"11011000", B"00010111", B"11100011",
99
 B"00110010", B"11110010", B"11101111", B"11100110", B"00111001",
100
 B"00110111", B"00100110", B"00010100", B"11100010", B"11100010",
101
 B"11001010", B"00100100", B"00101000", B"11011000", B"11011010",
102
 B"11011100", B"11110000", B"11101000", B"11010101", B"11110111",
103
 B"00001000", B"00001101", B"00010110", B"01001011", B"11011001",
104
 B"11111011", B"11011000", B"00011110", B"00010101", B"00100010",
105
 B"11010110", B"00010110", B"11000100", B"00001101", B"00110010",
106
 B"11010110", B"11010110", B"00011001", B"11100100", B"11011100",
107
 B"11100011", B"11011001", B"11101110", B"11101101", B"00011000",
108
 B"11011101", B"00110010", B"11011101", B"11011100", B"00010111",
109
 B"00010011", B"00100011", B"00100110", B"00110111", B"00011101",
110
 B"00011011", B"00100010", B"00010001", B"00010100", B"11011001",
111
 B"00011101", B"00110100", B"11010101", B"00110010", B"00110001",
112
 B"11011101", B"00100111", B"00000010", B"00001001", B"00100101",
113
 B"00011001", B"00100010", B"00100010", B"00000001", B"00010111",
114
 B"11000110", B"11010110", B"00010001", B"11101000", B"11010110",
115
 B"11101110", B"11011001", B"11001110", B"11100110", B"00010100",
116
 B"11111001", B"00010010", B"11110111", B"11100010", B"00001101",
117
 B"11111001", B"00011001", B"11111110", B"11100100", B"11010100",
118
 B"00101011", B"00111101", B"11101101", B"00011001", B"00011000",
119
 B"00100000", B"00010000", B"00010001", B"00011101", B"00001100",
120
 B"00001011", B"11000101", B"00011011", B"00110000", B"11011110",
121
 B"11010000", B"11110001", B"11100101", B"11010111", B"11010001",
122
 B"00010011", B"00101100", B"11100100", B"11100000", B"11010100",
123
 B"11100000", B"11111001", B"11011011", B"00101100", B"00011001",
124
 B"00110011", B"11100110", B"00010001", B"11010011", B"00011011",
125
 B"00101001", B"11101001", B"11010001", B"00100111", B"11011011",
126
 B"11111101", B"11100100", B"11110001", B"11110111", B"11011101",
127
 B"00100100", B"00010101", B"00100111", B"00001010", B"11011011",
128
 B"11010111", B"00011111", B"11010101", B"11101001", B"00100100",
129
 B"11110110", B"11110000", B"11001011", B"11010011", B"11110000",
130
 B"11010010", B"00011111", B"11111010", B"00011101", B"11100101",
131
 B"11110001", B"00100110", B"11110111", B"11101001", B"00001000",
132
 B"11010111", B"00001001", B"11110010", B"11010001", B"00010111",
133
 B"11010101", B"00001100", B"11100111", B"11001110", B"11010100",
134
 B"00101000", B"00011001", B"11110000", B"00010000", B"11011101",
135
 B"00100010", B"11011110", B"11011011", B"11101010", B"00110000",
136
 B"00011101", B"11100001", B"11011110", B"00100100", B"11010000",
137
 B"00100011", B"11011010", B"11101110", B"00101011", B"11100011",
138
 B"11011111", B"11010101", B"11011010", B"00010110", B"00101011",
139
 B"00101010", B"00011101", B"00011000", B"11110011", B"11101000",
140
 B"00111111", B"11110010", B"11100010", B"11100000", B"11110111",
141
 B"11100101", B"00010100", B"00011011", B"00100110", B"11010010",
142
 B"00110010", B"11101010", B"00011101", B"00110001", B"00110001",
143
 B"11010101", B"00101001", B"00100111", B"11101111", B"11001001",
144
 B"11110100", B"00000010", B"11011000", B"11111100", B"11110110",
145
 B"00101010", B"00010101", B"00100110", B"00110000", B"00110101",
146
 B"11100010", B"11001001", B"00101101", B"11101111", B"11100111",
147
 B"11000011", B"11001101", B"00011100", B"00010110", B"00100000",
148
 B"00010000", B"00101011", B"00100000", B"00111001", B"00100110",
149
 B"11101111", B"11101110", B"11011011", B"00011100", B"00100111",
150
 B"11110111", B"00100010", B"11010001", B"00010010", B"00110000",
151
 B"00110100", B"11111000", B"00011000", B"11101111", B"00100000",
152
 B"11111001", B"00101111", B"11010001", B"00111001", B"11001100",
153
 B"11010010", B"11011100", B"00011000", B"00101010", B"00110110",
154
 B"11010100", B"11010010", B"11011001", B"11001111", B"00100011",
155
 B"11111000", B"00110011", B"11011010", B"10111100", B"11011111",
156
 B"11011110", B"00011110", B"00011111", B"00011010", B"00101100",
157
 B"00100010", B"00000010", B"00010101", B"00011000", B"11101100",
158
 B"00100111", B"00011110", B"11010011", B"11000111", B"00010000",
159
 B"11011001", B"00101001", B"11010101", B"11000010", B"00011110",
160
 B"00110010", B"11101100", B"00111010", B"11101011", B"11010100",
161
 B"10111101", B"00001010", B"00010010", B"11011100", B"00101111",
162
 B"11101101", B"11010000", B"11101011", B"11011010", B"00111110",
163
 B"11100001", B"00110000", B"00011000", B"00101100", B"11011000",
164
 B"11100000", B"00100011", B"00101110", B"11000110", B"11100110",
165
 B"11100100", B"11110100", B"00100010", B"11100000", B"00101100",
166
 B"11011110", B"11001000", B"00100010", B"11010110", B"00101111",
167
 B"00111010", B"00110001", B"11010110", B"00100000", B"11010010",
168
 B"00010100", B"11101100", B"00010101", B"00100101", B"00100111",
169
 B"11100110", B"00100100", B"11110011", B"00011111", B"00111001",
170
 B"00001101", B"00010100", B"10111111", B"00011010", B"11110011",
171
 B"00001111", B"11010010", B"11101001", B"01000001", B"00111111",
172
 B"11111101", B"11100110", B"00110100", B"11001111", B"00011010",
173
 B"11100110", B"11001001", B"11001100", B"00101000", B"01000010",
174
 B"11001000", B"00101001", B"11010010", B"00011100", B"11010111",
175
 B"11100001", B"00111000", B"11001000", B"11100101", B"11000101",
176
 B"11010011", B"11010010", B"11010010", B"11010010", B"11100001",
177
 B"01000011", B"00001001", B"00101101", B"00010011", B"11110011",
178
 B"11010100", B"00101001", B"11011011", B"00011000", B"11111000",
179
 B"11010010", B"00011110", B"00110101", B"00001111", B"00100101",
180
 B"00011101", B"00100011", B"11111111", B"00011010", B"00100001",
181
 B"00110111", B"00110100", B"00011011", B"00001001", B"00100100",
182
 B"00101101", B"00101011", B"00100101", B"11100110", B"11010110",
183
 B"00000100", B"11010000", B"00101100", B"00100001", B"00101101",
184
 B"00110000", B"11100110", B"00010111", B"11100000", B"11101101",
185
 B"11010010", B"11101001", B"00101010", B"00100111", B"11011000",
186
 B"00010011", B"00011011", B"11000111", B"11010100", B"11011101",
187
 B"11101011", B"11000001", B"01000111", B"00100101", B"00001011",
188
 B"11100110", B"00100110", B"00100111", B"11011110", B"00010000",
189
 B"11110100", B"11011100", B"11100111", B"00100101", B"00100010",
190
 B"00000111", B"00000101", B"11101001", B"00101111", B"00011101",
191
 B"11101100", B"00001101", B"00100100", B"11011000", B"11100110",
192
 B"00110111", B"00001110", B"00001011", B"11000111", B"00001010",
193
 B"00010110", B"11001000", B"11011011", B"00101101", B"00100101",
194
 B"00010111", B"11010101", B"11101111", B"00100000", B"00101000",
195
 B"11011010", B"11011110", B"00000101", B"00010010", B"00100011",
196
 B"00010101", B"11001000", B"00100010", B"11110011", B"00011001",
197
 B"11011100", B"11100000", B"00101010", B"11100010", B"00100001",
198
 B"11000111", B"11101010", B"00110011", B"11100001", B"11000101",
199
 B"00010110", B"11111100", B"00010110", B"11100110", B"11101000",
200
 B"00101111", B"00100100", B"00101011", B"00010100", B"00100010",
201
 B"00101011", B"00110100", B"00011100", B"00110110", B"00011011",
202
 B"00001110", B"00011010", B"00001011", B"00100001", B"00010100",
203
 B"00101100", B"00011011", B"11010011", B"00101001", B"00010101",
204
 B"11100111", B"11101001", B"11100111", B"11110101", B"11101111",
205
 B"11001001", B"00100110", B"11010100", B"00001010", B"11101000",
206
 B"00001111", B"00100000", B"00101011", B"11110100", B"00100011",
207
 B"11100100", B"00101101", B"11110010", B"11011100", B"00100010",
208
 B"00101000", B"00100111", B"00100100", B"00110010", B"00100011",
209
 B"00010111", B"00110000", B"00011001", B"00100110", B"00101000",
210
 B"11101110", B"11001111", B"11100010", B"11011001", B"00100111",
211
 B"11010000", B"00101011", B"00011001", B"00110001", B"00011000",
212
 B"11001101", B"00100100", B"11011111", B"00010111", B"11011111",
213
 B"11010111", B"11011111", B"11011010", B"11101010", B"00110000",
214
 B"00101110", B"00101101", B"00010000", B"11100100", B"00001000",
215
 B"11000111", B"00110001", B"11000101", B"11010011", B"01000110",
216
 B"00101110", B"00110101", B"10110100", B"11100100", B"00000111",
217
 B"11110000", B"11100001", B"11100101", B"11011100", B"00011101",
218
 B"11101000", B"00000001", B"00111001", B"11111111", B"11111100",
219
 B"00100101", B"11111111", B"00100010", B"00001001", B"00011001",
220
 B"00101000", B"00011000", B"00011010", B"11111110", B"00001110",
221
 B"11101101", B"00010110", B"11011101", B"11110000", B"11001000",
222
 B"00100100", B"00011000", B"11001010", B"11101011", B"11110000",
223
 B"00011100", B"00111110", B"00110000", B"00001001", B"11010010",
224
 B"11001100", B"00011010", B"00101010", B"00010011", B"00011111",
225
 B"00111000", B"00011011", B"00011011", B"00101011", B"00010001",
226
 B"00010101", B"11010010", B"11010011", B"00110100", B"00001101",
227
 B"11100001", B"11101011", B"11110010", B"11100100", B"10110110",
228
 B"11100100", B"00011010", B"00010011", B"00011011", B"00100100",
229
 B"00000100", B"00111100", B"00010001", B"11100100", B"00001001",
230
 B"11100001", B"00011110", B"11100000", B"00101100", B"00101001",
231
 B"00001111", B"00101000", B"00001001", B"00011001", B"00010000",
232
 B"00010111", B"00011111", B"00011011", B"00101101", B"00010110",
233
 B"00001010", B"00001100", B"00101010", B"00100011", B"11100011",
234
 B"00001111", B"11111110", B"10111110", B"11110010", B"00101111",
235
 B"00101001", B"11111110", B"00100000", B"11110010", B"00100001",
236
 B"00100100", B"11110000", B"00011010", B"00100101", B"11011110",
237
 B"11011011", B"11101101", B"00100000", B"11001000", B"00010111",
238
 B"11101110", B"11011001", B"11110111", B"00110011", B"00010001",
239
 B"11010100", B"10110011", B"00100010", B"11111110", B"11101011",
240
 B"11010001", B"11111101", B"00001000", B"00101001", B"11010010",
241
 B"00110110", B"11010101", B"00000101", B"11001101", B"11010110",
242
 B"00101101", B"01000001", B"00101110", B"11101011", B"00100111",
243
 B"11001001", B"00100001", B"00011101", B"11010011", B"00110001",
244
 B"11100100", B"11101001", B"11101111", B"00010101", B"00100110",
245
 B"00011011", B"00100000", B"00100011", B"00101111", B"00100001",
246
 B"00011110", B"00110111", B"00010000", B"00111011", B"11110101",
247
 B"00011010", B"00100111", B"11010101", B"00011110", B"00000101",
248
 B"11000111", B"11011101", B"11001101", B"11010100", B"11011111",
249
 B"00011101", B"00011100", B"00101010", B"00101010", B"00100111",
250
 B"11101110", B"00010110", B"00100101", B"11100100", B"00011111",
251
 B"00101110", B"11100110", B"00100100", B"00101111", B"11101101",
252
 B"00110100", B"00100101", B"11100110", B"11011000", B"00011010",
253
 B"00101100", B"11110010", B"00101100", B"11010001", B"11110000",
254
 B"11011100", B"00100011", B"00001100", B"00100001", B"00010111",
255
 B"01000010", B"00100010", B"00100000", B"00011001", B"11110011",
256
 B"00100011", B"00101010", B"00011010", B"00101001", B"11110111",
257
 B"00100000", B"11101000", B"00011001", B"11110101", B"01000111",
258
 B"11100001", B"00100001", B"00110010", B"11011000", B"00001011",
259
 B"00010110", B"11100011", B"11011001", B"00010110", B"00000101",
260
 B"00101000", B"11100110", B"00100001", B"11110010", B"00011110",
261
 B"01001011", B"00000110", B"00000000", B"00001000", B"00011111",
262
 B"00100010", B"00011000", B"00100000", B"11111001", B"11100000",
263
 B"00101111", B"11011111", B"00010010", B"11100100", B"11010000",
264
 B"00010111", B"11101000", B"11100110", B"00110011", B"00100111",
265
 B"00101011", B"00100101", B"11010010", B"11100101", B"10111001",
266
 B"11011100", B"00000011", B"00101111", B"00101001", B"11110001",
267
 B"00010011", B"11101010", B"11101110", B"11110100", B"00110000",
268
 B"00011100", B"00000000", B"00100101", B"11100001", B"11110111",
269
 B"11011101", B"00100000", B"00101100", B"11100010", B"11011101",
270
 B"11100001", B"11111011", B"11010111", B"00011100", B"00010011",
271
 B"11100001", B"00100001", B"00001010", B"11010000", B"11111111",
272
 B"00100110", B"00001101", B"11110001", B"11111110", B"11101111",
273
 B"11100101", B"11011001", B"00100001", B"00100010", B"00101100",
274
 B"00011010", B"00011001", B"11011010", B"00001101", B"11101001",
275
 B"00011100", B"11101001", B"00100011", B"11110011", B"00001101",
276
 B"11011011", B"11100111", B"11010011", B"00010101", B"00011100",
277
 B"11001011", B"00001100", B"00010111", B"11001100", B"11101110",
278
 B"11010111", B"11101101", B"10111110", B"00100000", B"00101111",
279
 B"11011000", B"11100001", B"00100100", B"00000110", B"11101001",
280
 B"11010000", B"11011000", B"00011101", B"11011010", B"00110011",
281
 B"11100001", B"11110100", B"00011000", B"00001111", B"00011101",
282
 B"11010010", B"11101000", B"11001110", B"11001111", B"00101011",
283
 B"11100000", B"00001001", B"11110010", B"11100110", B"00011010",
284
 B"11101111", B"00110110", B"10110100", B"10111101", B"00100010",
285
 B"00101101", B"00010110", B"10110011", B"00010010", B"00101000",
286
 B"11001011", B"11110000", B"00010111", B"11001011", B"11110000",
287
 B"11011111", B"11010101", B"11111110", B"01000100", B"00010110",
288
 B"00100100", B"11010011", B"00100000", B"11101101", B"00010000",
289
 B"11001011", B"11100011", B"00011000", B"00010001", B"00010010",
290
 B"00011011", B"11110001", B"11101000", B"01000110", B"00010011",
291
 B"11000100", B"11100001", B"00100000", B"00011011", B"11100001",
292
 B"11100001", B"00110100", B"00010110", B"11011111", B"11001100",
293
 B"00100000", B"00100001", B"11010100", B"00000110", B"00100010",
294
 B"11110010", B"11100111", B"00001100", B"00100100", B"11001100",
295
 B"11100111", B"11111011", B"11001100", B"00101000", B"11110110",
296
 B"00010001", B"00011011", B"00000100", B"11101111", B"00110000",
297
 B"00001011", B"11100010", B"11100011", B"00101100", B"00011010",
298
 B"00011100", B"00000101", B"00010010", B"00010111", B"00100001",
299
 B"00110000", B"00010100", B"00101001", B"00101011", B"00001010",
300
 B"11011111", B"00100000", B"11110011", B"00100010", B"11001001",
301
 B"00000001", B"11101111", B"11100111", B"00001110", B"11100011",
302
 B"11011001", B"11011111", B"11011010", B"11100100", B"11011001",
303
 B"00101110", B"00011100", B"00101010", B"00001000", B"11101100",
304
 B"00001010", B"00000110", B"00010000", B"00010011", B"11010100",
305
 B"00100100", B"11101000", B"00100110", B"11011100", B"00011101",
306
 B"00000110", B"11101010", B"00100100", B"11100000", B"11101111",
307
 B"11010001", B"11000101", B"11100011", B"11110001", B"11110101",
308
 B"00011101", B"00101000", B"11100100", B"00001100", B"11000111",
309
 B"00011010", B"11011110", B"11100101", B"11111100", B"11010010",
310
 B"11111000", B"11101101", B"11000101", B"11100010", B"11001011",
311
 B"00101011", B"11100011", B"00101010", B"11101011", B"11011000",
312
 B"00001111", B"00101010", B"00011100", B"00000110", B"11011011",
313
 B"00011101", B"11101101", B"00000001", B"11001111", B"11101001",
314
 B"11011001", B"00100110", B"00000000", B"00011010", B"11010111",
315
 B"11001010", B"00101010", B"00101001", B"00100001", B"00101111",
316
 B"11011011", B"00001001", B"11010100", B"00011101", B"11110000",
317
 B"00110010", B"01000001", B"00001101", B"11101011", B"01001011",
318
 B"00110110", B"10110000", B"11101100", B"11011111", B"00101000",
319
 B"00110000", B"00010001", B"11001100", B"00111110", B"11010111",
320
 B"00000101", B"11011100", B"00011001", B"00001111", B"11011111",
321
 B"11011111", B"11010010", B"11000010", B"11001010", B"11100100",
322
 B"00110001", B"11001100", B"00010001", B"11101100", B"11011110",
323
 B"00101110", B"00010000", B"00100010", B"11011100", B"11100010",
324
 B"01000011", B"11101011", B"11011000", B"11010111", B"11001101",
325
 B"11101110", B"11010110", B"00001011", B"11101010", B"00111111",
326
 B"11101000", B"11001101", B"00000001", B"00110001", B"11011010",
327
 B"11010110", B"00000111", B"11010011", B"11011011", B"11110101",
328
 B"11110000", B"11011100", B"00010000", B"11000110", B"00110010",
329
 B"11011100", B"11001011", B"11110111", B"00011011", B"00110011",
330
 B"00100101", B"00000000", B"11011111", B"01000100", B"00100110",
331
 B"11011000", B"11011111", B"00010101", B"00011111", B"11111101",
332
 B"00011110", B"00010100", B"11101100", B"11011110", B"00011001",
333
 B"11111101", B"11101011", B"11111000", B"00100011", B"00101010",
334
 B"11011100", B"00010110", B"11101001", B"11010111", B"11110101",
335
 B"00110000", B"11001010", B"00010000", B"11110010", B"11110100",
336
 B"00101001", B"11101110", B"00011101", B"11101011", B"11011010",
337
 B"11011110", B"00010110", B"00100001", B"11011110", B"00111110",
338
 B"00110010", B"11100010", B"00100001", B"01000100", B"11100010",
339
 B"11100111", B"00110000", B"11100110", B"11101100", B"11100010",
340
 B"00110010", B"00100010", B"11100001", B"00110000", B"11100001",
341
 B"11101000", B"11110010", B"00110011", B"00100101", B"11010011",
342
 B"00010011", B"11011100", B"00100001", B"00011100", B"00100011",
343
 B"11011111", B"00010010", B"01001100", B"11100011", B"11100010",
344
 B"00101010", B"11011110", B"11100010", B"11111000", B"11101100",
345
 B"00111000", B"00101111", B"00011001", B"11111111", B"00110101",
346
 B"00010110", B"11011100", B"00101001", B"00011110", B"11011010",
347
 B"11011000", B"00011111", B"00100001", B"11010001", B"11000111",
348
 B"00110010", B"11000011", B"11110100", B"11011011", B"11100011",
349
 B"11010011", B"11011111", B"00000011", B"00101101", B"11111100",
350
 B"11100011", B"00110000", B"11100101", B"11100110", B"11100001",
351
 B"00010001", B"00010110", B"01000110", B"00010101", B"11100110",
352
 B"10110101", B"00101110", B"00010111", B"00100110", B"00001001",
353
 B"00001010", B"00001111", B"11111110", B"00001100", B"00101110",
354
 B"00001010", B"11111001", B"00101111", B"00010110", B"00101000",
355
 B"00010110", B"11111111", B"11111011", B"00010111", B"00011111",
356
 B"00110110", B"00010110", B"00011011", B"00100111", B"00101111",
357
 B"00110100", B"11101101", B"11010011", B"00001100", B"10110110",
358
 B"11001100", B"11101101", B"11101010", B"11110110", B"11101111",
359
 B"11001100", B"00000101", B"00010101", B"11101011", B"00011001",
360
 B"11101010", B"00100001", B"11011011", B"00101111", B"11010110",
361
 B"11100011", B"11100010", B"00001101", B"00111001", B"11110001",
362
 B"00011000", B"11011101", B"00010010", B"11100100", B"11110101",
363
 B"00011000", B"00101001", B"11110101", B"00011001", B"00011000",
364
 B"00101100", B"11010111", B"00100101", B"11000010", B"00011011",
365
 B"00010110", B"11011100", B"00110011", B"00010010", B"11101001",
366
 B"00110110", B"00010010", B"11101100", B"00111110", B"00010011",
367
 B"00010000", B"11110110", B"00010011", B"11100111", B"00110010",
368
 B"11000101", B"00100100", B"00001000", B"00101000", B"11011010",
369
 B"11100001", B"11100000", B"00100110", B"00011001", B"00001100",
370
 B"11010011", B"11010100", B"00010101", B"11100111", B"11111110",
371
 B"11101100", B"11011111", B"11011100", B"11010110", B"00101101",
372
 B"11110110", B"00001111", B"11001110", B"11000000", B"00100110",
373
 B"11110100", B"00100111", B"11100100", B"11011001", B"11101111",
374
 B"00011111", B"00100100", B"11100110", B"11011011", B"00100010",
375
 B"00110001", B"00100011", B"11011101", B"00110111", B"11001101",
376
 B"00101100", B"11101000", B"11100110", B"11011011", B"11110011",
377
 B"00100000", B"00010101", B"00100110", B"00101011", B"11011111",
378
 B"11101000", B"11010001", B"11111011", B"00100111", B"00101000",
379
 B"00101001", B"01000101", B"11110010", B"11010001", B"00011110",
380
 B"11001111", B"00010000", B"11010100", B"11100111", B"00001100",
381
 B"00101000", B"00001110", B"00011010", B"11101100", B"00100101",
382
 B"11011100", B"00100001", B"11100011", B"11011101", B"00101010",
383
 B"11001110", B"11101001", B"11011011", B"00001110", B"00000111",
384
 B"11100010", B"11011101", B"00111001", B"00011000", B"00010110",
385
 B"11010111", B"00101011", B"11000100", B"00110111", B"00111010",
386
 B"00100011", B"11010011", B"11001100", B"01010100", B"00100111",
387
 B"11010101", B"11110101", B"00101101", B"00000010", B"11100110",
388
 B"00111111", B"00011111", B"11111011", B"11011011", B"00100111",
389
 B"00110000", B"11101101", B"00011000", B"00010011", B"11011000",
390
 B"00100111", B"00010100", B"11100101", B"00011000", B"00101010",
391
 B"11001010", B"00001011", B"00100100", B"11000000", B"11001101",
392
 B"00100010", B"00101001", B"00101110", B"00000100", B"00111111",
393
 B"00100110", B"11101100", B"11010101", B"00011110", B"00101001",
394
 B"01001101", B"11110000", B"00111001", B"00100001", B"11110000",
395
 B"11001111", B"00011010", B"00100000", B"11110011", B"00111010",
396
 B"11001111", B"11001101", B"11011000", B"00011100", B"00110011",
397
 B"00011100", B"11011001", B"11011100", B"10111110", B"11110110",
398
 B"00010011", B"11110011", B"00110011", B"11100000", B"11100111",
399
 B"00001010", B"11101100", B"00001100", B"11011011", B"11101000",
400
 B"00010111", B"11011111", B"11111011", B"00001001", B"00110011",
401
 B"11111001", B"00010110", B"11101001", B"00000110", B"11000110",
402
 B"11000110", B"00101011", B"11001100", B"00101011", B"11001101",
403
 B"11001101", B"00010010", B"11011110", B"00010100", B"00011111",
404
 B"11001101", B"11101111", B"11101011", B"11010101", B"11001001",
405
 B"11011100", B"00001111", B"00101101", B"11100000", B"11111011",
406
 B"11001010", B"11110100", B"11101101", B"00011010", B"11001100",
407
 B"00100111", B"11011000", B"11101101", B"11100110", B"00100001",
408
 B"00010011", B"00011010", B"00010100", B"11110010", B"11010001",
409
 B"00010000", B"00011010", B"11110011", B"11101101", B"00101001",
410
 B"11101111", B"00000110", B"00001111", B"11100101", B"00101001",
411
 B"00100010", B"11011101", B"00011100", B"00011110", B"00010011",
412
 B"00010101", B"00011111", B"00101101", B"00011000", B"00100011",
413
 B"11000110", B"11010101", B"00011110", B"00010111", B"00100001",
414
 B"00010101", B"11011000", B"11011100", B"11011111", B"11110010",
415
 B"00101001", B"00100001", B"00101101", B"00100100", B"11010110",
416
 B"11101011", B"11001010", B"11111101", B"00010111", B"00011001",
417
 B"11011111", B"00100101", B"11011111", B"00100000", B"11011101",
418
 B"00101000", B"00100000", B"11101010", B"11100011", B"11100001",
419
 B"11101111", B"11011111", B"00011110", B"00001011", B"00001111",
420
 B"00001000", B"00101001", B"00010100", B"00001000", B"00110001",
421
 B"11100110", B"11011111", B"11110110", B"00001010", B"00101111",
422
 B"11011010", B"00000010", B"11101001", B"00001001", B"11100101",
423
 B"11010101", B"00001000", B"11101101", B"11010111", B"11100010",
424
 B"11100101", B"11011110", B"11011101", B"00010110", B"11001010",
425
 B"00011001", B"11110101", B"11011110", B"00111011", B"00101100",
426
 B"00100001", B"11101001", B"00011011", B"00011111", B"11011100",
427
 B"11011000", B"00100111", B"00011100", B"00111110", B"01000001",
428
 B"00011100", B"00011101", B"00010011", B"00111110", B"00100110",
429
 B"11110010", B"11101110", B"11101000", B"10110111", B"00101101",
430
 B"00110010", B"00110010", B"00101101", B"11001001", B"00000110",
431
 B"11100101", B"00110101", B"11011010", B"10111101", B"00011011",
432
 B"00100010", B"11010011", B"00110010", B"11101010", B"00101000",
433
 B"11011110", B"11101100", B"11111110", B"00111010", B"00111011",
434
 B"11101001", B"11111010", B"00100110", B"11001010", B"01000001",
435
 B"00101010", B"11100001", B"11100010", B"11001011", B"00100000",
436
 B"11011010", B"00010101", B"11100010", B"11100011", B"00101110",
437
 B"00001111", B"00011111", B"11101110", B"00101101", B"00100010",
438
 B"11110010", B"11001110", B"00100110", B"11110000", B"00000000",
439
 B"00110111", B"11010111", B"11110010", B"11011110", B"11100110",
440
 B"11011000", B"11000100", B"00110110", B"00001001", B"11011011",
441
 B"11110110", B"11101011", B"11001110", B"11101111", B"11100100",
442
 B"00001101", B"11011100", B"11100100", B"11011111", B"00101011",
443
 B"00101000", B"11100001", B"11011001", B"00011001", B"00110011",
444
 B"00010010", B"11101110", B"00010001", B"11000101", B"11111101",
445
 B"00001100", B"00001111", B"00101011", B"00010111", B"00011011",
446
 B"11110111", B"00110010", B"00011010", B"11100010", B"00100111",
447
 B"00011110", B"11111000", B"11010111", B"11001111", B"11110100",
448
 B"11010110", B"11000110", B"11100100", B"11110001", B"00101100",
449
 B"00001010", B"11111110", B"00100100", B"11101100", B"00010011",
450
 B"00110100", B"00110001", B"00101010", B"00100010", B"00001001",
451
 B"00100001", B"00001110", B"00100111", B"11111010", B"11010011",
452
 B"00100010", B"11001110", B"11011000", B"11011110", B"11101110",
453
 B"11111001", B"00100001", B"00001001", B"00011110", B"11100100",
454
 B"00100010", B"00010000", B"00110111", B"00101010", B"11011001",
455
 B"00001111", B"11000011", B"11110101", B"11001101", B"00101001",
456
 B"00000111", B"11110110", B"00100000", B"11011000", B"00010100",
457
 B"01000110", B"11001011", B"11011001", B"00001110", B"11011011",
458
 B"11100010", B"00101001", B"00100011", B"00100010", B"00101000",
459
 B"11011010", B"11101101", B"01000110", B"11011100", B"00100101",
460
 B"00010110", B"11001000", B"00100010", B"00101110", B"11001111",
461
 B"11100110", B"00101010", B"00001100", B"00110101", B"11101101",
462
 B"00001011", B"11010110", B"00011001", B"00011111", B"11011000",
463
 B"11001000", B"00010110", B"11100100", B"11011110", B"11111011",
464
 B"11100101", B"00011000", B"11011100", B"00011001", B"00011111",
465
 B"11101100", B"00111000", B"00011100", B"11011011", B"11010100",
466
 B"11100001", B"11110101", B"11110001", B"00100101", B"00011010",
467
 B"00011000", B"00100100", B"11111000", B"00100010", B"11001000",
468
 B"11011001", B"00110001", B"00100111", B"11010010", B"11100010",
469
 B"00100111", B"11101111", B"00010010", B"11101100", B"11100001",
470
 B"11001010", B"00000001", B"00011101", B"00011010", B"00100010",
471
 B"00110100", B"00010110", B"00011000", B"00100000", B"00110110",
472
 B"00101001", B"11010110", B"11011100", B"00011101", B"01000100",
473
 B"00101100", B"00011110", B"11010101", B"11011100", B"00110011",
474
 B"11001010", B"11101011", B"00100011", B"11111101", B"11011110",
475
 B"11010100", B"11111000", B"11011111", B"11101110", B"11010110",
476
 B"00010110", B"00011100", B"11010111", B"00010011", B"11101010",
477
 B"11101001", B"11100011", B"11010101", B"11100001", B"00010000",
478
 B"00100100", B"00100111", B"00010111", B"11000101", B"00111100",
479
 B"00011101", B"00011010", B"00000000", B"00100011", B"11101101",
480
 B"00011010", B"11100011", B"00010011", B"00100010", B"00011100",
481
 B"11011011", B"00010001", B"11001010", B"00010010", B"00010110",
482
 B"11100000", B"11010111", B"00010000", B"11011001", B"11011101",
483
 B"11011111", B"11011100", B"11010101", B"00100101", B"00011011",
484
 B"00011011", B"11101111", B"00011101", B"11100101", B"00101000",
485
 B"11100011", B"00010101", B"00000100", B"00101110", B"11010111",
486
 B"00001100", B"11100011", B"00111110", B"11100000", B"11011010",
487
 B"00110001", B"00101001", B"00011011", B"00100001", B"11010111",
488
 B"11111101", B"11100001", B"00100001", B"00001000", B"00011100",
489
 B"11100101", B"00011010", B"11000001", B"00010101", B"11000100",
490
 B"11110100", B"00100111", B"00001110", B"00100001", B"00000101",
491
 B"00000011", B"11100011", B"00110100", B"00011100", B"11110000",
492
 B"11100010", B"00011101", B"00101101", B"11010101", B"11011111",
493
 B"00011000", B"11001101", B"11100111", B"00011111", B"11101100",
494
 B"11100111", B"11011011", B"11101110", B"11010100", B"00001000",
495
 B"00011100", B"11110011", B"11010100", B"11100111", B"11101100",
496
 B"11010100", B"00101110", B"11101100", B"00011100", B"11100010",
497
 B"11010110", B"11110001", B"00011011", B"00100010", B"01001000",
498
 B"00100111", B"11101111", B"11100011", B"00110001", B"00101110",
499
 B"11011010", B"11101000", B"00111110", B"11011011", B"11101011",
500
 B"11111010", B"11000110", B"01000011", B"11101100", B"00111010",
501
 B"00011011", B"11101011", B"00010010", B"00001000", B"11011101",
502
 B"00100100", B"00010101", B"11001000", B"00010000", B"00011101",
503
 B"11010000", B"00011010", B"00001011", B"11010111", B"11100101",
504
 B"00100101", B"11100010", B"00011011", B"00000101", B"11100100",
505
 B"11110000", B"00011001", B"00011001", B"11100111", B"11010110",
506
 B"00010010", B"00111001", B"11111001", B"11010101", B"11001100",
507
 B"11101001", B"11111001", B"00101000", B"11011010", B"11000001",
508
 B"11011001", B"11101100", B"01000100", B"11010010", B"00001111",
509
 B"11010010", B"11100000", B"11011110", B"00100101", B"00100100",
510
 B"11101011", B"00101100", B"11010011", B"00111100", B"00111011",
511
 B"00110001", B"11101101", B"00100100", B"11100001", B"00010100",
512
 B"11010000", B"11101100", B"00001100", B"00011000", B"11010100",
513
 B"11011010", B"11100010", B"11111110", B"11000111", B"00010110",
514
 B"11101011", B"11100110", B"00011000", B"11101001", B"11110011",
515
 B"11110001", B"11101011", B"00000010", B"00110001", B"00101000",
516
 B"11111100", B"11110110", B"11110011", B"11100100", B"10111100",
517
 B"11110111", B"11001100", B"11010011", B"11010111", B"11111010",
518
 B"00011000", B"11110100", B"00100110", B"11001111", B"11110010",
519
 B"11011101", B"11010001", B"00100101", B"00101010", B"00011111",
520
 B"00101110", B"00001001", B"00011010", B"11110110", B"00110110",
521
 B"00001001", B"11011111", B"11010110", B"00011101", B"11011011",
522
 B"00100110", B"11111010", B"00001111", B"11110101", B"11111111",
523
 B"00100101", B"00011111", B"00010101", B"00011011", B"11011000",
524
 B"11101010", B"00001000", B"00101011", B"11100010", B"11101010",
525
 B"00110100", B"11010111", B"00101001", B"11100000", B"11010011",
526
 B"11011110", B"00110001", B"00010000", B"11011000", B"00110111",
527
 B"11011101", B"00101001", B"11101101", B"11110010", B"00110010",
528
 B"00101111", B"00100110", B"00010100", B"00101111", B"00100000",
529
 B"00011010", B"11101111", B"11111111", B"00100101", B"00011010",
530
 B"00010011", B"00111100", B"00000001", B"00100000", B"00101110",
531
 B"00100100", B"00100011", B"00110001", B"00101101", B"00100101",
532
 B"11100011", B"00010011", B"11010000", B"11111010", B"11010001",
533
 B"00111100", B"11011000", B"11101110", B"00011101", B"11100101",
534
 B"11110111", B"11001100", B"11011111", B"11010111", B"10111100",
535
 B"11001100", B"11010100", B"00000010", B"00001101", B"00010110",
536
 B"00100111", B"00010110", B"00110010", B"11100111", B"00011001",
537
 B"11111111", B"11101010", B"11000000", B"00111001", B"00100000",
538
 B"11011011", B"00110010", B"00011100", B"11010011", B"00100011",
539
 B"00011011", B"11011110", B"11010111", B"11100111", B"00101110",
540
 B"00101001", B"00010111", B"00101001", B"11010010", B"00001011",
541
 B"00010110", B"11010010", B"00101010", B"00101010", B"11011001",
542
 B"00011001", B"00100000", B"11010100", B"00010101", B"00011110",
543
 B"00110111", B"00000100", B"00100001", B"11100001", B"00010100",
544
 B"11101000", B"00101011", B"00100100", B"00101001", B"00010111",
545
 B"00011110", B"00110001", B"00111100", B"00011111", B"11010110",
546
 B"00001100", B"11101011", B"00010000", B"11100011", B"11011011",
547
 B"00010110", B"00010111", B"11101001", B"00100101", B"00100010",
548
 B"11001100", B"11011101", B"11111000", B"11010011", B"11011000",
549
 B"11100001", B"00011001", B"11011110", B"11010110", B"11010111",
550
 B"00111101", B"00010100", B"11100000", B"00001101", B"11011111",
551
 B"00010110", B"11101011", B"11011100", B"10111100", B"00001011",
552
 B"00100100", B"11110011", B"11101101", B"00011001", B"11110010",
553
 B"00100011", B"11101101", B"11011110", B"00100010", B"11011000",
554
 B"11000111", B"00011001", B"00100010", B"00100001", B"00100000",
555
 B"11011000", B"11010000", B"00000110", B"00010001", B"11101101",
556
 B"00101101", B"11101111", B"11101001", B"00010001", B"00011101",
557
 B"00100011", B"00101011", B"11010000", B"00011010", B"11111101",
558
 B"11100110", B"11010011", B"00100000", B"11101100", B"11101110",
559
 B"00011001", B"11011100", B"00101010", B"11100101", B"11010110",
560
 B"00011001", B"11110111", B"11001110", B"11010101", B"11100111",
561
 B"00100011", B"00000000", B"00101110", B"00010011", B"11100011",
562
 B"00011111", B"00011001", B"00010110", B"11101000", B"00001011",
563
 B"11110010", B"00010110", B"00010011", B"11011111", B"00001011",
564
 B"11100011", B"11010111", B"01001010", B"11010000", B"00010010",
565
 B"00011111", B"11100111", B"00110111", B"11111001", B"11100101",
566
 B"11000100", B"00101100", B"00101100", B"00100000", B"00010111",
567
 B"11101100", B"00000011", B"00000111", B"11100110", B"11101011",
568
 B"00110100", B"11011011", B"11011100", B"00001100", B"11010100",
569
 B"00111010", B"11100001", B"11011011", B"00010100", B"00000111",
570
 B"11011000", B"11110011", B"11101001", B"11101100", B"00001000",
571
 B"11110101", B"00100110", B"11101100", B"11011000", B"11010011",
572
 B"00001000", B"00101000", B"11110001", B"00100110", B"11101100",
573
 B"11100011", B"00101001", B"11101010", B"11100011", B"11100101",
574
 B"00100010", B"01001010", B"11001100", B"00101000", B"11100111",
575
 B"10111110", B"00001111", B"00000001", B"11100100", B"11111010",
576
 B"11001010", B"00000100", B"11101000", B"00010101", B"11011010",
577
 B"11100101", B"11010010", B"00100111", B"00101001", B"11011010",
578
 B"00100000", B"11111001", B"00000111", B"11100001", B"11010100",
579
 B"00011110", B"00011011", B"11010011", B"00010001", B"00100110",
580
 B"11100100", B"11011100", B"11100100", B"11101111", B"11011010",
581
 B"00101110", B"00111101", B"00011000", B"11101110", B"00100101",
582
 B"11010101", B"00011110", B"11100000", B"00011111", B"00010100",
583
 B"00011111", B"11001100", B"00100011", B"11011101", B"00010101",
584
 B"11100100", B"11100101", B"00010101", B"00010001", B"00001101",
585
 B"11011100", B"00011111", B"11001010", B"00011111", B"00011101",
586
 B"11001111", B"00111100", B"00010111", B"11100010", B"00010111",
587
 B"00111011", B"11100101", B"11010110", B"00011010", B"11001111",
588
 B"00110111", B"11100001", B"11101101", B"00010110", B"01000000",
589
 B"11011110", B"11100010", B"11100010", B"11011011", B"00001010",
590
 B"00001101", B"00110011", B"00110010", B"11100000", B"11101000",
591
 B"01000110", B"00100010", B"00101011", B"00010110", B"11001000",
592
 B"11011100", B"11100011", B"00101111", B"00101010", B"00011110",
593
 B"11100011", B"00100111", B"11011111", B"00010000", B"00110011",
594
 B"11100100", B"00010111", B"11010111", B"11011010", B"11110011",
595
 B"00101000", B"00011100", B"11011101", B"00001010", B"11100101",
596
 B"00100000", B"11110110", B"11110100", B"00101011", B"00010111",
597
 B"00100000", B"00101111", B"11000110", B"11100010", B"00010111",
598
 B"00011011", B"11100001", B"11101101", B"00101110", B"00010111",
599
 B"11011000", B"00010111", B"00100101", B"11100001", B"11101010",
600
 B"00100111", B"11110110", B"00101011", B"11101100", B"00100000",
601
 B"11111101", B"11010001", B"00110010", B"00010101", B"11001000",
602
 B"00100000", B"11100001", B"00100111", B"11101010", B"11100100",
603
 B"00110100", B"00100010", B"00011101", B"11100011", B"11101000",
604
 B"00101101", B"11100011", B"11011010", B"11010000", B"11100011",
605
 B"00001110", B"11110011", B"00101000", B"11100111", B"11011001",
606
 B"11101111", B"00011110", B"00101011", B"00011111", B"00010001",
607
 B"00011010", B"00011111", B"00011011", B"01000001", B"00011111",
608
 B"00011011", B"00001100", B"00010101", B"11000010", B"11100011",
609
 B"00010111", B"00100101", B"11110111", B"11100000", B"00001100",
610
 B"00010011", B"11111001", B"11100101", B"00011100", B"00010100",
611
 B"11101010", B"10111100", B"00100010", B"11000011", B"11101010",
612
 B"00011011", B"11011010", B"11100000", B"11011000", B"11100111",
613
 B"00000001", B"00101001", B"11101011", B"11011000", B"00010010",
614
 B"00111001", B"11010000", B"10111111", B"11001101", B"11101011",
615
 B"00100101", B"00011000", B"00011010", B"00100001", B"11001100",
616
 B"11010001", B"11110010", B"11010111", B"11110000", B"11101101",
617
 B"11111001", B"00100110", B"00011110", B"00110000", B"01000011",
618
 B"00110101", B"00011011", B"11100000", B"01010010", B"11010101",
619
 B"00101010", B"10111011", B"11101011", B"11110000", B"00010001",
620
 B"11010110", B"00011111", B"11001100", B"11010010", B"00010000",
621
 B"11011010", B"00010010", B"11010011", B"11100110", B"11010111",
622
 B"00101001", B"00010111", B"11010110", B"11100000", B"11101100",
623
 B"11001011", B"11100100", B"00010011", B"00001001", B"00000101",
624
 B"00000111", B"11001101", B"11001001", B"00011101", B"00001010",
625
 B"00011011", B"00101101", B"11011111", B"11101111", B"00000011",
626
 B"00011101", B"00010000", B"00101000", B"11011100", B"00100111",
627
 B"11001111", B"00011110", B"11110101", B"00110011", B"11011100",
628
 B"00000100", B"00011011", B"11011011", B"11101011", B"00011101",
629
 B"11001110", B"11001000", B"00001110", B"00011110", B"00011111",
630
 B"00001011", B"11100110", B"00000011", B"00011100", B"00011100",
631
 B"11010101", B"00100010", B"00011111", B"11100000", B"11110000",
632
 B"00100000", B"11101001", B"11100011", B"00100010", B"00010000",
633
 B"00100100", B"00010111", B"11100001", B"11111010", B"00101001",
634
 B"00011100", B"00100001", B"11011111", B"00100111", B"11010000",
635
 B"00110000", B"11110111", B"11100011", B"11001100", B"00001000",
636
 B"11101000", B"00100101", B"11010000", B"00000111", B"00001001",
637
 B"00100101", B"11101111", B"11101010", B"11101011", B"11100010",
638
 B"00010110", B"11010010", B"00100001", B"00011010", B"00100110",
639
 B"00011011", B"11010010", B"00001010", B"11010000", B"00010000",
640
 B"11011000", B"11100101", B"11011100", B"11101110", B"00101101",
641
 B"00110010", B"11111101", B"00100011", B"11101001", B"11101011",
642
 B"00100111", B"11101010", B"00001110", B"11011100", B"11100000",
643
 B"00110011", B"00101111", B"00001011", B"00011000", B"11001111",
644
 B"00011001", B"00100101", B"11001111", B"11101001", B"00100110",
645
 B"11110101", B"11011101", B"11101110", B"11000111", B"00000010",
646
 B"00101100", B"00100101", B"00100111", B"00111111", B"11010111",
647
 B"00011001", B"11011110", B"11100000", B"11010111", B"00101100",
648
 B"00100111", B"11110111", B"00011110", B"11111010", B"11101111",
649
 B"11110001", B"00100000", B"00011101", B"11011010", B"11111001",
650
 B"11100110", B"11010101", B"00011100", B"00100001", B"11101010",
651
 B"00011011", B"11011111", B"00100110", B"00010110", B"00101001",
652
 B"11110100", B"01000010", B"11101000", B"00101000", B"11111110",
653
 B"00010111", B"00011001", B"00001011", B"11101100", B"00011100",
654
 B"11111000", B"00010000", B"11010110", B"11100011", B"00010011",
655
 B"00110111", B"00011100", B"11111101", B"00110100", B"11011111",
656
 B"00111111", B"11101001", B"00100001", B"00010000", B"00100001",
657
 B"11100110", B"00100110", B"00001011", B"00011001", B"11010010",
658
 B"00011101", B"00110001", B"00010100", B"11111010", B"00110001",
659
 B"11110111", B"00111010", B"11101000", B"00010001", B"11100101",
660
 B"11100110", B"11011111", B"00100011", B"00000001", B"11000101",
661
 B"00011011", B"11101000", B"01000000", B"11101111", B"11100100",
662
 B"11010000", B"00000110", B"00100110", B"11010100", B"00101011",
663
 B"00011000", B"00011101", B"11011111", B"00001100", B"11001011",
664
 B"00001011", B"11110010", B"00110010", B"11010010", B"11100110",
665
 B"11011100", B"00010110", B"00101010", B"11011110", B"00110001",
666
 B"00011110", B"11110100", B"11011010", B"00011100", B"00100001",
667
 B"11001001", B"11110010", B"00000111", B"00101010", B"00111000",
668
 B"11110000", B"00101010", B"11000101", B"00101001", B"11110101",
669
 B"11011100", B"11011010", B"11000010", B"00100100", B"00111100",
670
 B"11010110", B"00111111", B"11010101", B"11100111", B"11111000",
671
 B"11110000", B"11100011", B"11111001", B"00110011", B"00010110",
672
 B"00101001", B"00001001", B"11001010", B"11100111", B"11010111",
673
 B"11110010", B"00101110", B"11010011", B"00001011", B"00010000",
674
 B"00100000", B"11010000", B"00001101", B"00001001", B"11100110",
675
 B"11010000", B"00100100", B"00001110", B"11100101", B"00110101",
676
 B"11001011", B"11010110", B"11101101", B"00000100", B"00100011",
677
 B"00111001", B"11010101", B"10111001", B"00010010", B"11011010",
678
 B"11101001", B"11011111", B"11101111", B"00110100", B"00011000",
679
 B"00011011", B"00110100", B"00101001", B"00010001", B"00011011",
680
 B"00000111", B"11100011", B"11110000", B"11110011", B"00011101",
681
 B"00011011", B"11100111", B"00011101", B"11100011", B"00101111",
682
 B"00011100", B"00100110", B"00111100", B"00101010", B"00010000",
683
 B"00100111", B"00100011", B"00010101", B"11100010", B"11100111",
684
 B"11101011", B"11010111", B"11100111", B"11011000", B"11100000",
685
 B"11011000", B"00011110", B"11100011", B"11101010", B"11111101",
686
 B"00101011", B"00101011", B"11110000", B"00001100", B"11011110",
687
 B"00001100", B"11110110", B"11011001", B"11010110", B"00000100",
688
 B"00010100", B"00011010", B"00011111", B"00110111", B"11010110",
689
 B"00100010", B"11111010", B"01000110", B"11111100", B"00101010",
690
 B"00011001", B"00100100", B"11001111", B"11111001", B"11001001",
691
 B"01000011", B"11100001", B"11100100", B"11011111", B"11101101",
692
 B"11001000", B"00010010", B"00101010", B"00010001", B"00111010",
693
 B"11011010", B"11110010", B"00010101", B"00011001", B"11110100",
694
 B"00110111", B"11101111", B"11101011", B"11010011", B"11011111",
695
 B"11110111", B"00010100", B"00101011", B"11110001", B"00100011",
696
 B"11011011", B"00010100", B"00101011", B"00100011", B"00110010",
697
 B"00111101", B"00101110", B"01000011", B"00001111", B"00101110",
698
 B"11011011", B"00101001", B"11000101", B"11110101", B"11001101",
699
 B"00100111", B"00011001", B"11010000", B"00100111", B"11010010",
700
 B"11011011", B"11010101", B"00111011", B"00101001", B"11100100",
701
 B"11111110", B"00100010", B"11101100", B"11101001", B"11110111",
702
 B"00001110", B"01001001", B"11110100", B"00000010", B"00110100",
703
 B"00100000", B"00010110", B"00010101", B"00101101", B"00100001",
704
 B"00100101", B"00101111", B"11101110", B"11001111", B"00010101",
705
 B"11100101", B"11011111", B"11110101", B"11100001", B"00010001",
706
 B"11011011", B"11011111", B"11001101", B"11010111", B"01001110",
707
 B"11100110", B"00101110", B"00011001", B"11100110", B"11110001",
708
 B"11011101", B"11000110", B"00010111", B"11010110", B"00010111",
709
 B"11100101", B"10110001", B"11100101", B"00011010", B"00100010",
710
 B"11000000", B"00011100", B"11101011", B"00100011", B"00101001",
711
 B"11110110", B"11101011", B"00011101", B"00010001", B"11101000",
712
 B"11100100", B"00000111", B"11110101", B"00010101", B"00100110",
713
 B"01000000", B"00011001", B"00010110", B"00010111", B"11001001",
714
 B"00000100", B"00011000", B"00100101", B"00111001", B"00000000",
715
 B"11001110", B"11010111", B"00011110", B"11011100", B"11001100",
716
 B"00110011", B"11110011", B"11100110", B"11100000", B"11010101",
717
 B"00100100", B"00011100", B"00011010", B"00101111", B"00010100",
718
 B"00001111", B"00100111", B"00011000", B"11011011", B"00011010",
719
 B"00011000", B"00101010", B"10110010", B"00100110", B"11011011",
720
 B"00111011", B"00100000", B"00010010", B"11100100", B"00100111",
721
 B"00110111", B"11101100", B"11010101", B"00100101", B"00011010",
722
 B"11101011", B"00100111", B"00010000", B"11011010", B"00110010",
723
 B"00001111", B"11101110", B"11010101", B"11101001", B"00011000",
724
 B"11110010", B"00101011", B"11011101", B"11010011", B"11111101",
725
 B"00001011", B"00010101", B"00000100", B"00111001", B"00101100",
726
 B"00001111", B"00110010", B"00101110", B"00101100", B"00010110",
727
 B"00101100", B"00011110", B"00011110", B"00011001", B"00011100",
728
 B"00101101", B"00011101", B"00001111", B"00000011", B"11010111",
729
 B"00000100", B"11001100", B"00010011", B"11101110", B"00100111",
730
 B"11010110", B"00100101", B"00000101", B"11100010", B"00101000",
731
 B"00011110", B"11101101", B"11100111", B"11101100", B"00010111",
732
 B"11011110", B"00110011", B"11011000", B"00000110", B"00110100",
733
 B"00011111", B"00100011", B"11111100", B"11010000", B"00011001",
734
 B"00100101", B"11101001", B"11010110", B"11001111", B"00110010",
735
 B"00100001", B"11011000", B"11101001", B"11101010", B"11011111",
736
 B"11000110", B"00011111", B"11100000", B"11100100", B"00001010",
737
 B"11100101", B"11100111", B"11110011", B"11010001", B"11011101",
738
 B"00110101", B"00100111", B"11111011", B"11000101", B"11011101",
739
 B"11100011", B"11101010", B"01000010", B"00101010", B"11110000",
740
 B"00001101", B"00010111", B"00100110", B"00101100", B"00101010",
741
 B"00100101", B"00100100", B"00010111", B"00011101", B"00101100",
742
 B"00110001", B"00011001", B"00110010", B"00011001", B"00100110",
743
 B"00010111", B"11101111", B"00101010", B"11110110", B"00110111",
744
 B"11100000", B"11011111", B"00000011", B"11100110", B"11011000",
745
 B"11110000", B"00110110", B"00011110", B"11100100", B"11011111",
746
 B"00011010", B"00001110", B"00010011", B"10111110", B"00010010",
747
 B"11010110", B"00010111", B"11010011", B"00010100", B"00010000",
748
 B"11110011", B"11011011", B"11011101", B"11010000", B"11101101",
749
 B"00011000", B"11111000", B"00010011", B"00010100", B"00100100",
750
 B"00011010", B"00010110", B"00100101", B"11100000", B"00100000",
751
 B"00010000", B"00101101", B"11111011", B"00100100", B"11000010",
752
 B"00101111", B"11101100", B"11101110", B"00011100", B"11101111",
753
 B"00011011", B"11010111", B"11110010", B"00011111", B"00011010",
754
 B"11111110", B"11100100", B"11011100", B"11011101", B"00011101",
755
 B"11011101", B"00010101", B"00100100", B"11101010", B"11110110",
756
 B"11111100", B"11100001", B"00000100", B"11111010", B"11101011",
757
 B"00110111", B"11011110", B"00100001", B"00001111", B"11000110",
758
 B"00100101", B"01001011", B"11111001", B"11101001", B"00011010",
759
 B"11011110", B"11101111", B"11101010", B"00110011", B"00100011",
760
 B"11001110", B"00101001", B"00110000", B"11111010", B"00100101",
761
 B"00011100", B"11110001", B"11101000", B"00011011", B"00100110",
762
 B"00101011", B"00010011", B"00111001", B"00000011", B"01000010",
763
 B"00010001", B"00011111", B"01000100", B"11001001", B"00100111",
764
 B"00101011", B"11010100", B"00010110", B"00001011", B"10111011",
765
 B"11110111", B"00011000", B"11010100", B"00101010", B"11100100",
766
 B"11110101", B"00110110", B"00011010", B"11000000", B"11001000",
767
 B"00010001", B"11100100", B"00001110", B"11001000", B"11100100",
768
 B"00110010", B"11100010", B"00011000", B"11110110", B"11110100",
769
 B"11110010", B"00011000", B"00100011", B"11101101", B"11110011",
770
 B"10111000", B"00011001", B"00010111", B"00011010", B"00100101",
771
 B"11000100", B"11010110", B"00001111", B"11010100", B"11010101",
772
 B"11110001", B"11010100", B"00011101", B"11000111", B"00100110",
773
 B"11011011", B"11001010", B"11110010", B"11011101", B"00101110",
774
 B"00011110", B"00001111", B"00001101", B"00100011", B"11000100",
775
 B"11100010", B"00010001", B"11110100", B"11011100", B"11100101",
776
 B"11011000", B"01000001", B"00010111", B"11010011", B"11001101",
777
 B"00100000", B"00011110", B"11110011", B"11101000", B"00101010",
778
 B"00000101", B"00011111", B"11010011", B"00101100", B"11010000",
779
 B"00001110", B"11011101", B"00010100", B"11010111", B"00010101",
780
 B"00100011", B"11001111", B"00011101", B"00010101", B"11001101",
781
 B"00000111", B"00011011", B"00100100", B"11011101", B"00110010",
782
 B"11110000", B"00011000", B"11001001", B"11100001", B"00100000",
783
 B"11110100", B"00110010", B"11000001", B"11101111", B"00010010",
784
 B"00000110", B"11010010", B"00101010", B"11011011", B"11101100",
785
 B"11011000", B"00110001", B"00100100", B"11100100", B"00011011",
786
 B"00001001", B"11001011", B"00001001", B"11000111", B"11110111",
787
 B"11100111", B"11100000", B"11011101", B"11011110", B"11101101",
788
 B"11000111", B"00101010", B"00000110", B"00101000", B"00101101",
789
 B"00001001", B"00111010", B"11101001", B"00010101", B"11101010",
790
 B"11100011", B"00100011", B"00011101", B"11011001", B"11001010",
791
 B"11010101", B"00110100", B"00100100", B"11100010", B"00101010",
792
 B"11110011", B"00001111", B"00110011", B"11001111", B"00101100",
793
 B"00011101", B"11101000", B"11010101", B"00101010", B"11101111",
794
 B"00010011", B"00101000", B"00101100", B"11100101", B"00101111",
795
 B"11001000", B"00010000", B"00011101", B"11011010", B"00011001",
796
 B"00101110", B"11000111", B"00100100", B"00010111", B"11110001",
797
 B"00011111", B"01000001", B"11011000", B"00110001", B"00110100",
798
 B"11101010", B"11010111", B"00000011", B"10111100", B"00111111",
799
 B"11101010", B"00110011", B"11100010", B"11001001", B"00101001",
800
 B"00110001", B"11001000", B"00100001", B"11110110", B"00010110",
801
 B"11001111", B"11001100", B"00011011", B"00010000", B"11011010",
802
 B"00010111", B"11110110", B"00010011", B"11010101", B"11010100",
803
 B"00110001", B"00011000", B"00011110", B"00010100", B"00100110",
804
 B"11011110", B"00000011", B"11100101", B"00011000", B"11010111",
805
 B"00000101", B"00111011", B"11011001", B"00111101", B"11111010",
806
 B"11100110", B"00111110", B"00101010", B"00110011", B"00101001",
807
 B"00011011", B"00101000", B"00011001", B"00011100", B"00001111",
808
 B"00101110", B"11010011", B"00101011", B"11101100", B"00111101",
809
 B"11111100", B"00001000", B"00101111", B"00001111", B"00010110",
810
 B"10111100", B"00010010", B"11011110", B"11100001", B"11000011",
811
 B"00110001", B"00101010", B"11010110", B"01000110", B"11100100",
812
 B"11010000", B"11010101", B"00100110", B"00001101", B"11011011",
813
 B"00101101", B"01001100", B"00111011", B"00101000", B"00010000",
814
 B"00110100", B"00100000", B"00011100", B"00100101", B"11100111",
815
 B"00101010", B"00100100", B"11010001", B"00001010", B"00110000",
816
 B"11100111", B"11010011", B"00001101", B"00001101", B"00010000",
817
 B"11110111", B"00101010", B"11100000", B"00100100", B"11101100",
818
 B"11100010", B"11011011", B"11101000", B"00101100", B"00101110",
819
 B"00010110", B"00011111", B"00110011", B"11011111", B"00100000",
820
 B"00101111", B"11110001", B"00100010", B"00011001", B"11010110",
821
 B"11110101", B"00011110", B"11110001", B"00011011", B"11100110",
822
 B"11001001", B"00110001", B"11101110", B"11011101", B"11010100",
823
 B"10111101", B"11000011", B"00100000", B"00111101", B"00010010",
824
 B"00101010", B"11011111", B"00010110", B"00010001", B"00101010",
825
 B"11110010", B"00011000", B"11100100", B"00101011", B"11011000",
826
 B"11001100", B"11010010", B"00110000", B"00110000", B"11100001",
827
 B"00110100", B"11100101", B"00011001", B"00001010", B"11000101",
828
 B"11110110", B"11100011", B"00101110", B"00000111", B"00010000",
829
 B"11011100", B"10111001", B"11010001", B"00000110", B"00011100",
830
 B"11011101", B"00110001", B"11011011", B"11000000", B"00110010",
831
 B"11001110", B"11111101", B"11001000", B"00101000", B"00110010",
832
 B"11100011", B"00101000", B"00111011", B"00000010", B"00010010",
833
 B"01000010", B"00010101", B"00011010", B"00010000", B"11010100",
834
 B"11011011", B"00110100", B"00011110", B"00100110", B"00011000",
835
 B"11110010", B"11001110", B"00001111", B"00101110", B"11010100",
836
 B"11001000", B"00110100", B"00010111", B"11011010", B"11011010",
837
 B"00100000", B"00011001", B"00100111", B"00100100", B"00110001",
838
 B"00100000", B"00001001", B"00010100", B"11001111", B"00011100",
839
 B"11111001", B"11011101", B"11010110", B"00100010", B"01000100",
840
 B"11101101", B"11010100", B"11011101", B"00010111", B"00100111",
841
 B"00110100", B"00000010", B"11010101", B"11010111", B"00100000",
842
 B"00010110", B"11011110", B"00101001", B"00101111", B"11011110",
843
 B"11010110", B"00010111", B"11111100", B"00100000", B"00101111",
844
 B"00110001", B"11101010", B"00011001", B"11101100", B"00011001",
845
 B"11010111", B"00011100", B"11011101", B"11100011", B"11011100",
846
 B"00010011", B"00011110", B"11101011", B"00101010", B"11100111",
847
 B"11101101", B"00011101", B"11000101", B"11101100", B"11001100",
848
 B"11001101", B"11000101", B"00011010", B"00011000", B"00101111",
849
 B"11100111", B"00100011", B"11001000", B"00110101", B"11110100",
850
 B"11010110", B"11000001", B"11100011", B"00101011", B"00010100",
851
 B"00010110", B"00100101", B"11100111", B"00001010", B"11111011",
852
 B"00110001", B"11010010", B"00100100", B"11110011", B"00100010",
853
 B"00100101", B"11100011", B"11100000", B"00011101", B"11011100",
854
 B"11100101", B"11110010", B"11100000", B"11100100", B"11011000",
855
 B"00011000", B"00010101", B"01000011", B"00100001", B"11011101",
856
 B"11110110", B"11101110", B"00010100", B"00001010", B"11001110",
857
 B"11100100", B"11011000", B"11111010", B"11010110", B"11011100",
858
 B"10111011", B"00111011", B"11010100", B"00110010", B"11001100",
859
 B"11011100", B"00111000", B"11011110", B"00011101", B"00100011",
860
 B"11011111", B"11100010", B"11101000", B"11010111", B"11011101",
861
 B"00001110", B"00100100", B"11011111", B"00110000", B"00011111",
862
 B"11011000", B"11001010", B"00111010", B"00011111", B"11101000",
863
 B"00011111", B"11111111", B"11011010", B"00110011", B"01000100",
864
 B"11100111", B"00001001", B"11101100", B"00011000", B"11011001",
865
 B"11011111", B"11100111", B"00010011", B"00101001", B"01000100",
866
 B"11101001", B"00100110", B"11010001", B"11011101", B"11110000",
867
 B"00010110", B"00010111", B"11010100", B"00110101", B"11101101",
868
 B"00001101", B"11101000", B"11100101", B"00111001", B"00000110",
869
 B"00100010", B"00010110", B"00101101", B"00110001", B"00010010",
870
 B"00010110", B"00000101", B"00100000", B"11101111", B"00001000",
871
 B"00111001", B"00010101", B"00001100", B"00010011", B"11111000",
872
 B"00011111", B"11110000", B"00010011", B"11111000", B"00110000",
873
 B"11101011", B"11101000", B"11111101", B"00100011", B"11101101",
874
 B"11100011", B"00010110", B"00110111", B"00101011", B"00001101",
875
 B"11010101", B"11100110", B"00110001", B"11101000", B"11110011",
876
 B"00101011", B"11101101", B"11100010", B"11011101", B"11011111",
877
 B"00101000", B"11101110", B"11010101", B"00101101", B"11101111",
878
 B"11110000", B"11010000", B"11010111", B"11010101", B"11101101",
879
 B"00011010", B"00011011", B"00011001", B"00011101", B"11011111",
880
 B"11001011", B"00100111", B"00111100", B"11100001", B"11011000",
881
 B"11111100", B"00110011", B"11010110", B"11100000", B"00101011",
882
 B"00001000", B"11010011", B"00001010", B"00011000", B"11101000",
883
 B"11000010", B"00100001", B"00011001", B"00101011", B"00100001",
884
 B"11111110", B"00100110", B"00010100", B"00111000", B"00011110",
885
 B"00011010", B"11001010", B"01010011", B"00101001", B"11011010",
886
 B"00100001", B"00011010", B"11101010", B"00001110", B"00010101",
887
 B"11001111", B"00000000", B"00110001", B"11101011", B"11110100",
888
 B"00110111", B"11100100", B"00001011", B"11100110", B"00100100",
889
 B"11011011", B"11001100", B"00101010", B"00101100", B"11110101",
890
 B"00100010", B"11100001", B"00110010", B"11101100", B"10111111",
891
 B"00001100", B"00101001", B"00001011", B"11010111", B"00111010",
892
 B"11010110", B"11100101", B"11101001", B"00011000", B"00101100",
893
 B"00100111", B"11010111", B"11100100", B"00101101", B"11100010",
894
 B"11101101", B"11101011", B"11010111", B"11101101", B"00001100",
895
 B"00100010", B"00110100", B"11100100", B"00101000", B"11101111",
896
 B"00010000", B"00111101", B"00011000", B"00100101", B"11100110",
897
 B"00100011", B"11011010", B"00010100", B"00000000", B"00100101",
898
 B"11000101", B"00010011", B"00010111", B"11110001", B"01000010",
899
 B"11110110", B"11011101", B"11010110", B"11010000", B"11101010",
900
 B"11011011", B"00011101", B"00011011", B"00100000", B"00010100",
901
 B"00101010", B"00011011", B"10111100", B"11001011", B"00011001",
902
 B"00110100", B"11110111", B"11110000", B"00001001", B"11101100",
903
 B"11101110", B"11011111", B"11100110", B"00011010", B"11011011",
904
 B"00010000", B"11011011", B"00100100", B"00100010", B"11101010",
905
 B"11010110", B"11000011", B"11110001", B"11110010", B"00100100",
906
 B"11110001", B"11001100", B"11011101", B"11010011", B"00111110",
907
 B"11101011", B"00001101", B"00010011", B"11001101", B"11101000",
908
 B"00100000", B"10110101", B"11011110", B"11001101", B"11010000",
909
 B"11100000", B"11011111", B"00100000", B"00011110", B"00101011",
910
 B"00010101", B"11011011", B"11100110", B"11101001", B"00010011",
911
 B"11011010", B"00100001", B"11110111", B"11111111", B"00101001",
912
 B"00011001", B"11100101", B"00001111", B"00011000", B"11010010",
913
 B"11010110", B"11110011", B"11100110", B"10111000", B"00010110",
914
 B"00110000", B"11100011", B"11100000", B"00100001", B"00010011",
915
 B"11010111", B"11001110", B"00001111", B"00011000", B"00001111",
916
 B"00100100", B"00100101", B"00111010", B"00110011", B"00001010",
917
 B"00011011", B"00110111", B"11100101", B"00011001", B"00001110",
918
 B"11101001", B"11101011", B"00111101", B"00011000", B"11101101",
919
 B"11011110", B"00011101", B"11011101", B"11100111", B"11101011",
920
 B"11110011", B"00001000", B"00001100", B"00100101", B"00110001",
921
 B"00101001", B"00100010", B"00001000", B"00010100", B"11010101",
922
 B"11100111", B"00000111", B"00101101", B"00100001", B"00010111",
923
 B"11100111", B"11010111", B"11011011", B"11101001", B"00101111",
924
 B"11001110", B"00100101", B"11011001", B"11011000", B"00100011",
925
 B"00101100", B"10111111", B"00101010", B"00110011", B"11100000",
926
 B"00101110", B"00011110", B"11100011", B"00101100", B"00010100",
927
 B"11100010", B"11111101", B"00101011", B"11011001", B"11100000",
928
 B"00101101", B"11011101", B"11110000", B"11001101", B"00100000",
929
 B"00100101", B"11100010", B"00101010", B"11000000", B"00001010",
930
 B"00110001", B"11100111", B"11100000", B"00001001", B"00100000",
931
 B"11110000", B"11111011", B"00100100", B"00110011", B"00100010",
932
 B"11110111", B"00101101", B"11111100", B"00110000", B"11110101",
933
 B"10111101", B"00010100", B"11011011", B"11100100", B"11011000",
934
 B"00100110", B"00110111", B"11100011", B"00101111", B"00110101",
935
 B"00110000", B"00010000", B"00000011", B"00011011", B"00100010",
936
 B"00000101", B"11010110", B"00010001", B"11110100", B"00101111",
937
 B"11111010", B"11110001", B"00011111", B"00110011", B"00100001",
938
 B"00011001", B"11011100", B"11100010", B"00010011", B"00010111",
939
 B"11101001", B"11011101", B"00100001", B"00110101", B"11111011",
940
 B"11001100", B"00010100", B"00010010", B"00000010", B"11001000",
941
 B"00101110", B"11101110", B"11001110", B"11100000", B"11111000",
942
 B"00011100", B"11011010", B"00110111", B"00101101", B"11011101",
943
 B"00110101", B"00100001", B"11010010", B"00110110", B"00101011",
944
 B"11110000", B"00101111", B"00001111", B"00001101", B"11010111",
945
 B"00100011", B"11111010", B"00001110", B"11100001", B"11010000",
946
 B"11100111", B"00010100", B"00010110", B"00011110", B"00100001",
947
 B"11011101", B"11010111", B"11010110", B"00101011", B"00011111",
948
 B"00001101", B"11000110", B"00000000", B"11001100", B"00011000",
949
 B"11101110", B"11011100", B"00001100", B"11100100", B"11111100",
950
 B"11011001", B"11001101", B"00110110", B"00011001", B"11100010",
951
 B"00010001", B"00100011", B"11100100", B"00100001", B"00011001",
952
 B"11011011", B"11111000", B"11101111", B"00111111", B"11100111",
953
 B"00110001", B"11100101", B"11110001", B"00100010", B"00000111",
954
 B"00010110", B"11100101", B"00100010", B"00011111", B"11011000",
955
 B"11100001", B"00101011", B"11101111", B"00001111", B"11100110",
956
 B"11100010", B"11100011", B"00101010", B"00010111", B"11001110",
957
 B"11101011", B"00001101", B"11110111", B"00010010", B"11001010",
958
 B"11100111", B"00010110", B"11111100", B"11101000", B"00010111",
959
 B"11011111", B"11010101", B"10111100", B"00001010", B"00101110",
960
 B"11101101", B"00010111", B"00101100", B"11011101", B"11001111",
961
 B"00100101", B"00010010", B"00000010", B"11100011", B"11011101",
962
 B"00000001", B"00011100", B"00011000", B"11101110", B"00100000",
963
 B"11100100", B"00101100", B"11110011", B"00110110", B"00011000",
964
 B"00100110", B"11101001", B"00110111", B"11010011", B"00001001",
965
 B"00101111", B"00111110", B"11011001", B"00110011", B"00000011",
966
 B"11110111", B"11100100", B"00100110", B"11100010", B"00011111",
967
 B"11100000", B"00001001", B"11100101", B"11101011", B"00110111",
968
 B"00110010", B"00101110", B"00110001", B"11001100", B"00100110",
969
 B"00100111", B"11100011", B"11011111", B"00001111", B"00010011",
970
 B"11110010", B"11101101", B"00110111", B"11110101", B"11100000",
971
 B"11010000", B"11110110", B"11101011", B"11011011", B"00001010",
972
 B"00111010", B"00011011", B"00110011", B"11101101", B"11100100",
973
 B"11011000", B"11100111", B"11100000", B"00101010", B"00001110",
974
 B"11001101", B"00100100", B"11011111", B"00010011", B"00100010",
975
 B"11001011", B"00011010", B"00011100", B"11000101", B"11100110",
976
 B"00101000", B"00011001", B"11001011", B"11011011", B"00011110",
977
 B"11101011", B"11110010", B"11010010", B"11101011", B"00010000",
978
 B"00100011", B"00011100", B"11001000", B"00001010", B"11100101",
979
 B"01000000", B"11010110", B"00000010", B"11010110", B"11101111",
980
 B"11010100", B"11100000", B"00101011", B"11101100", B"00100110",
981
 B"11001100", B"11001011", B"00000111", B"11101011", B"00100111",
982
 B"11101110", B"11100000", B"00010100", B"11010110", B"11110000",
983
 B"00100001", B"11010001", B"00111111", B"11101110", B"11101000",
984
 B"00001010", B"11100101", B"11001110", B"11010101", B"11100111",
985
 B"00100011", B"00101100", B"00100000", B"00001011", B"00101110",
986
 B"00011111", B"11110110", B"00011011", B"00010001", B"11100001",
987
 B"11011010", B"00010111", B"00011111", B"00010000", B"00011111",
988
 B"11011011", B"00001100", B"11101100", B"00100111", B"11000011",
989
 B"00100010", B"00110100", B"11101010", B"11001110", B"00001011",
990
 B"00110011", B"11110000", B"11000010", B"00110101", B"11010100",
991
 B"11010010", B"00100100", B"11011000", B"11001001", B"11100101",
992
 B"11111000", B"00011101", B"11111001", B"00010100", B"00101101",
993
 B"11011101", B"00110011", B"00011111", B"11011110", B"00010010",
994
 B"11011010", B"00010011", B"11001110", B"11011011", B"11000111",
995
 B"00010001", B"00011001", B"10111110", B"00101100", B"00010110",
996
 B"11101101", B"11111100", B"11001110", B"11100000", B"11101101",
997
 B"00001011", B"00100000", B"11100011", B"11100011", B"00101110",
998
 B"00011000", B"11010100", B"11101010", B"00011011", B"00110100",
999
 B"00101100", B"00100010", B"11111111", B"00010000", B"00011010",
1000
 B"11111001", B"00001111", B"11101011", B"01000101", B"11101001",
1001
 B"11100000", B"11100011", B"00100001", B"00100000", B"11010001",
1002
 B"11100010", B"11010011", B"11100100", B"00101000", B"00101111",
1003
 B"00011101", B"00011101", B"00011001", B"00100011", B"11000111",
1004
 B"00101110", B"00001110", B"11101111", B"11100001", B"01000011",
1005
 B"11100100", B"11100001", B"11011110", B"00010000", B"00001010",
1006
 B"11010111", B"00010100", B"11101000", B"11100011", B"00111001",
1007
 B"11011101", B"00101001", B"11100100", B"11100101", B"00101110",
1008
 B"00100000", B"11100011", B"11010011", B"11100000", B"11101101",
1009
 B"00001111", B"00101011", B"00101000", B"00110011", B"00001100",
1010
 B"00001111", B"11101111", B"11010000", B"00011101", B"00010001",
1011
 B"11010010", B"11100101", B"11101110", B"11010101", B"00100110",
1012
 B"11100010", B"00111010", B"11011011", B"11100110", B"00011000",
1013
 B"00000001", B"00110110", B"11111001", B"11011100", B"00001100",
1014
 B"00101100", B"11000000", B"10110111", B"00011001", B"00001101",
1015
 B"00101111", B"00100010", B"00100111", B"00011001", B"00010110",
1016
 B"00110011", B"00100010", B"11110000", B"00100010", B"11111101",
1017
 B"11010111", B"11000001", B"00100000", B"00010100", B"00011101",
1018
 B"00100010", B"11110111", B"11100011", B"00100100", B"00011100",
1019
 B"11010001", B"11100001", B"00010111", B"11110011", B"00101110",
1020
 B"00101000", B"11010100", B"00011000", B"00100000", B"11100111",
1021
 B"00011000", B"11100111", B"00011001", B"11001111", B"11100101",
1022
 B"00000101", B"00110111", B"00100010", B"00000101", B"11011111",
1023
 B"11111010", B"11001101", B"11111110", B"00011111", B"11100011",
1024
 B"00011100", B"11000011", B"11100001", B"00111110", B"00100000",
1025
 B"00001110", B"11111000", B"11110001", B"11000110", B"00101010",
1026
 B"00001000", B"00101001", B"11101010", B"00001000", B"00000101",
1027
 B"00011001", B"00100010", B"00011001", B"00001010", B"11101100",
1028
 B"00100001", B"00111001", B"11011010", B"11010111", B"00011101",
1029
 B"11101100", B"11010001", B"00110000", B"11101101", B"00101111",
1030
 B"11001010", B"11000011", B"00011011", B"00010100", B"00011000",
1031
 B"11101001", B"00010100", B"00000100", B"11010100", B"11010000",
1032
 B"00011110", B"11100011", B"11000111", B"00011001", B"00101001",
1033
 B"00101000", B"00011001", B"11101000", B"11110111", B"11010110",
1034
 B"11010011", B"11100101", B"00101101", B"00010001", B"11011001",
1035
 B"00001010", B"11100011", B"11010100", B"11101101", B"11110001",
1036
 B"00010111", B"00111110", B"11011110", B"00011110", B"11111010",
1037
 B"00110110", B"00101110", B"11100001", B"11100001", B"00010010",
1038
 B"00011010", B"11101010", B"11011011", B"00100010", B"11001011",
1039
 B"00110010", B"11011101", B"11010111", B"11001011", B"00101110",
1040
 B"00001111", B"11100110", B"00100001", B"00000110", B"11011100",
1041
 B"11100111", B"11011010", B"11001111", B"11010000", B"00011101",
1042
 B"11100010", B"11100001", B"11101001", B"11011110", B"00011010",
1043
 B"11111100", B"00110101", B"00110111", B"00001110", B"00000101",
1044
 B"11110010", B"00111000", B"11101001", B"00110011", B"11011100",
1045
 B"00101001", B"00101110", B"11100000", B"11101011", B"00010110",
1046
 B"00001101", B"11100011", B"11010010", B"11010000", B"00001011",
1047
 B"00010111", B"00010001", B"11011010", B"00100101", B"11100000",
1048
 B"11111111", B"11111100", B"00011100", B"11011111", B"00011011",
1049
 B"00001001", B"11100000", B"11101101", B"00011101", B"00101100",
1050
 B"00111011", B"00011001", B"11001100", B"00010110", B"11011010",
1051
 B"00001101", B"11101111", B"11011111", B"00001110", B"00011000",
1052
 B"00110000", B"11111000", B"00100010", B"11101110", B"11110101",
1053
 B"11100010", B"00101011", B"11001011", B"00011101", B"11100000",
1054
 B"11100010", B"00100101", B"00100101", B"00100011", B"11011011",
1055
 B"11100111", B"00010101", B"11001100", B"11000010", B"11100010",
1056
 B"11101110", B"00011000", B"11100100", B"00100110", B"11111100",
1057
 B"11100101", B"11111010", B"00101110", B"00010001", B"00101101",
1058
 B"00011011", B"11011000", B"00001011", B"00011111", B"11111100",
1059
 B"11011010", B"00101110", B"00101100", B"11010101", B"00010110",
1060
 B"11101110", B"11011110", B"11111001", B"00100011", B"00100100",
1061
 B"00110101", B"00101011", B"11010110", B"00100111", B"00101101",
1062
 B"11010010", B"11001110", B"00101101", B"11101100", B"00110011",
1063
 B"11010101", B"10110001", B"11011101", B"00010100", B"01000011",
1064
 B"11101001", B"11111111", B"11010101", B"11011100", B"11101010",
1065
 B"00100000", B"00000001", B"00010110", B"00110100", B"11011000",
1066
 B"11011111", B"11100000", B"00011100", B"00001110", B"11001000",
1067
 B"00100000", B"11111110", B"00011100", B"11011110", B"00100110",
1068
 B"00001111", B"11110001", B"00010010", B"00011110", B"11101101",
1069
 B"00011000", B"11100011", B"11100011", B"00100010", B"11001100",
1070
 B"11100101", B"11100111", B"11010011", B"11101001", B"00001001",
1071
 B"00010111", B"11001000", B"11011011", B"11001000", B"11010011",
1072
 B"11010101", B"00101101", B"11110100", B"11001110", B"00100111",
1073
 B"11111011", B"11001111", B"11100010", B"11101001", B"00111101",
1074
 B"11111000", B"11100110", B"00000010", B"11011011", B"00110011",
1075
 B"11010010", B"00001011", B"00101100", B"11011100", B"11110011",
1076
 B"11010101", B"11011011", B"00100111", B"11100100", B"00101000",
1077
 B"11010010", B"00011101", B"00101111", B"00100010", B"11001111",
1078
 B"00010010", B"11001111", B"01000111", B"00100011", B"00100000",
1079
 B"00001101", B"11010101", B"00010111", B"11100100", B"00000111",
1080
 B"11011000", B"00000111", B"11010100", B"11101110", B"11100100",
1081
 B"11100110", B"00101100", B"11010000", B"00100100", B"11101000",
1082
 B"11101001", B"00110001", B"11011010", B"00110010", B"11101001",
1083
 B"11010110", B"00100100", B"11111000", B"11001010", B"11101000",
1084
 B"00011000", B"11110101", B"11011111", B"00111110", B"11011011",
1085
 B"11110111", B"00100011", B"11100111", B"11111001", B"11001100",
1086
 B"00011000", B"00101001", B"11110000", B"11010101", B"11100010",
1087
 B"11011010", B"11100011", B"00100101", B"00101100", B"00001000",
1088
 B"00101111", B"00000011", B"00011011", B"11001000", B"00011100",
1089
 B"00010100", B"11101110", B"11111100", B"00101010", B"00010010",
1090
 B"11100010", B"00101011", B"00000110", B"11110111", B"00101100",
1091
 B"00101010", B"00011101", B"11100001", B"11010001", B"00000111",
1092
 B"00010110", B"00100110", B"11101001", B"00000110", B"11010101",
1093
 B"00100111", B"11101001", B"11011111", B"11110011", B"10111010",
1094
 B"00010000", B"11100011", B"00011000", B"00011001", B"00100111",
1095
 B"00000100", B"00010110", B"00100011", B"00011110", B"00010110",
1096
 B"01001101", B"11011110", B"00100110", B"11100001", B"11100110",
1097
 B"11101011", B"00100111", B"00101011", B"11111110", B"00100011",
1098
 B"00101010", B"00101100", B"11100001", B"00100010", B"11010111",
1099
 B"00101110", B"11010101", B"00000011", B"00100001", B"00000010",
1100
 B"00101101", B"00011000", B"11100110", B"11100000", B"00010101",
1101
 B"00101110", B"00101000", B"00011110", B"00011101", B"00001011",
1102
 B"00100011", B"00111111", B"00001011", B"11011101", B"00101000",
1103
 B"11011001", B"11011001", B"11010110", B"00100110", B"00011000",
1104
 B"11001110", B"00010110", B"00010110", B"00011111", B"01000000",
1105
 B"00110001", B"00101011", B"00000101", B"00011010", B"00010110",
1106
 B"11110110", B"00011110", B"11101111", B"11100010", B"11110000",
1107
 B"00101001", B"00100111", B"00110101", B"00100110", B"00010010",
1108
 B"11011100", B"00100011", B"11100000", B"00101011", B"11001110",
1109
 B"11101011", B"11101001", B"11010101", B"11011111", B"00111111",
1110
 B"00011111", B"00001111", B"00100101", B"00100011", B"00100010",
1111
 B"00101001", B"00101100", B"00110011", B"00011101", B"00000100",
1112
 B"00101111", B"11100000", B"11011001", B"00010001", B"11001000",
1113
 B"00010001", B"11101011", B"11001100", B"00001111", B"11100000",
1114
 B"11011100", B"00100101", B"11011100", B"00100101", B"11010100",
1115
 B"11000000", B"00110011", B"00101001", B"00101100", B"11100010",
1116
 B"00111110", B"00111000", B"11101101", B"10101101", B"00100110",
1117
 B"11110011", B"00010011", B"11011010", B"00001110", B"11001010",
1118
 B"00110111", B"00010101", B"11101001", B"11100110", B"11011110",
1119
 B"11110000", B"00010100", B"00010011", B"11100110", B"00100101",
1120
 B"11101011", B"11101100", B"11001001", B"11011111", B"00100100",
1121
 B"00011010", B"11011001", B"00010111", B"11100111", B"00101100",
1122
 B"00001001", B"00101000", B"11101000", B"00110100", B"11011110",
1123
 B"00010010", B"11110110", B"00011110", B"00101010", B"11000011",
1124
 B"00000111", B"00101001", B"11001100", B"11011100", B"00101000",
1125
 B"11101000", B"00110000", B"11011101", B"11100011", B"11000000",
1126
 B"00101010", B"00100001", B"11110000", B"00110100", B"00110110",
1127
 B"11011011", B"11110110", B"00100100", B"00011001", B"11001111",
1128
 B"11001011", B"11000011", B"11100000", B"00001001", B"00000000",
1129
 B"00011101", B"11011111", B"11101001", B"00111010", B"00100101",
1130
 B"11001101", B"00110100", B"11011111", B"11001011", B"11111111",
1131
 B"00110000", B"00101000", B"10111111", B"00011100", B"11100001",
1132
 B"11101000", B"10111100", B"00011101", B"01000100", B"11100010",
1133
 B"11001111", B"11111001", B"00100000", B"00010110", B"00100111",
1134
 B"00101010", B"11100000", B"11011011", B"11101110", B"11110101",
1135
 B"00010100", B"00010111", B"00011101", B"00011101", B"11001001",
1136
 B"11011110", B"11101011", B"00111010", B"00001001", B"11110101",
1137
 B"11100111", B"11011111", B"11011110", B"11001100", B"00101001",
1138
 B"11101110", B"00101001", B"00000010", B"11101000", B"11100111",
1139
 B"00110000", B"00010000", B"00100000", B"00010111", B"00110111",
1140
 B"00100111", B"00101111", B"00000111", B"00100011", B"00010000",
1141
 B"00101010", B"11101110", B"11100100", B"11101001", B"11010010",
1142
 B"00011000", B"11010101", B"00001010", B"11011100", B"11100100",
1143
 B"11010011", B"11111010", B"00000111", B"11111011", B"00101011",
1144
 B"00010101", B"11111011", B"00000100", B"00110010", B"00100111",
1145
 B"11010101", B"00010000", B"11010100", B"00110000", B"00101111",
1146
 B"00111110", B"11100000", B"00010001", B"00011011", B"11010010",
1147
 B"11111010", B"00010010", B"00010101", B"11101001", B"00000101",
1148
 B"00011000", B"11101000", B"00011100", B"00010001", B"11000100",
1149
 B"11101010", B"11011011", B"00101101", B"00011101", B"00010111",
1150
 B"00011000", B"11011000", B"11001000", B"11010011", B"00011010",
1151
 B"00011011", B"11100000", B"11100101", B"11101110", B"11111100",
1152
 B"10111111", B"00010101", B"11101100", B"11001010", B"11001111",
1153
 B"11100111", B"00100110", B"11100011", B"00011000", B"11101000",
1154
 B"00101010", B"00010101", B"11010011", B"11101001", B"11110011",
1155
 B"11101001", B"10111111", B"00100010", B"11101001", B"00011100",
1156
 B"11001110", B"11011101", B"11101000", B"00100110", B"00001011",
1157
 B"00110111", B"00001000", B"00011001", B"00101001", B"00100110",
1158
 B"00110101", B"00101100", B"00011000", B"11110100", B"11010100",
1159
 B"11011000", B"00010101", B"00100000", B"11011001", B"00011000",
1160
 B"11001001", B"11010000", B"01000010", B"01000000", B"00011000",
1161
 B"11010110", B"00100001", B"11100000", B"00010001", B"11001010",
1162
 B"00010010", B"11011011", B"11010010", B"11101010", B"00011000",
1163
 B"00010101", B"11111010", B"00100110", B"11010000", B"11110000",
1164
 B"11011011", B"11011100", B"00100010", B"11011000", B"01000100",
1165
 B"00011001", B"11011110", B"00011100", B"11011011", B"11100111",
1166
 B"11100110", B"00010010", B"00001111", B"11011110", B"00100011",
1167
 B"00011000", B"11001110", B"00000101", B"11100011", B"11001011",
1168
 B"00000011", B"00011011", B"10110110", B"00100111", B"11110101",
1169
 B"11100010", B"11000100", B"00010010", B"00101110", B"11111100",
1170
 B"00000111", B"00011000", B"00001011", B"00100110", B"00101000",
1171
 B"01000000", B"00110101", B"11101101", B"11100100", B"00010011",
1172
 B"00110000", B"00011100", B"00101001", B"11011110", B"11010001",
1173
 B"00101000", B"11110011", B"11010110", B"11100001", B"11101001",
1174
 B"00010111", B"11011110", B"00111011", B"11101011", B"00010001",
1175
 B"00101010", B"11100110", B"11111010", B"11011110", B"11101100",
1176
 B"11001100", B"11100000", B"11101001", B"11100001", B"00101110",
1177
 B"01000100", B"11001110", B"00011010", B"11101100", B"11011100",
1178
 B"00011110", B"00011110", B"11101011", B"11110100", B"11100110",
1179
 B"11110010", B"11110011", B"11110001", B"00001111", B"11101100",
1180
 B"11011110", B"11010111", B"00111100", B"01000011", B"11001111",
1181
 B"11011110", B"00110101", B"10111000", B"00001011", B"11011100",
1182
 B"11011101", B"00101001", B"00011100", B"00000010", B"00000100",
1183
 B"11110100", B"11001000", B"00110011", B"00010100", B"11100010",
1184
 B"11010011", B"11001111", B"11011011", B"11111010", B"11011011",
1185
 B"00001100", B"11110100", B"11000011", B"00100000", B"11110111",
1186
 B"11101111", B"00100101", B"11100000", B"00100100", B"11010010",
1187
 B"11101110", B"00111001", B"00111001", B"11001101", B"00110000",
1188
 B"11101110", B"11110111", B"11010110", B"00001100", B"00100011",
1189
 B"00000101", B"11000010", B"00011110", B"00000001", B"11001011",
1190
 B"11111010", B"00011110", B"00011001", B"11010110", B"11011110",
1191
 B"11101010", B"00110111", B"00010111", B"11011010", B"00011011",
1192
 B"11010111", B"11001001", B"00110100", B"11011010", B"11110100",
1193
 B"11100100", B"00010011", B"00110000", B"11011001", B"10111000",
1194
 B"00001011", B"11101010", B"11101011", B"11100110", B"00011110",
1195
 B"00011000", B"11100011", B"11101101", B"11101000", B"00011010",
1196
 B"11011110", B"00101101", B"11011011", B"11101100", B"00000000",
1197
 B"00100000", B"11100010", B"11101010", B"11010100", B"11011000",
1198
 B"11111110", B"11001111", B"00101010", B"00100010", B"11011011",
1199
 B"00010001", B"11010110", B"11001110", B"00000010", B"00011110",
1200
 B"00100110", B"11101001", B"00111010", B"11110000", B"00111100",
1201
 B"11010100", B"11011110", B"00011001", B"00110011", B"11110101",
1202
 B"11101111", B"00100010", B"10111011", B"00001101", B"11011110",
1203
 B"11110001", B"00011101", B"11000000", B"10111001", B"00110010",
1204
 B"11011111", B"00110000", B"11001000", B"11011111", B"00000110",
1205
 B"11011011", B"00100111", B"00010010", B"00011110", B"11010111",
1206
 B"01001010", B"11101110", B"00101001", B"10111000", B"11010010",
1207
 B"00011101", B"11110111", B"00110100", B"11100100", B"11010010",
1208
 B"00011101", B"00011100", B"00101111", B"00001111", B"11010000",
1209
 B"00111000", B"11011000", B"00100000", B"11100110", B"11001100",
1210
 B"11010010", B"11001110", B"00110000", B"00101000", B"11100101",
1211
 B"00101101", B"11110001", B"00101110", B"11010010", B"00011011",
1212
 B"11100000", B"11010000", B"11010110", B"01000010", B"00110101",
1213
 B"00011111", B"11100000", B"00110110", B"01001000", B"11110100",
1214
 B"00010111", B"00100011", B"11100000", B"00011111", B"00011010",
1215
 B"00000111", B"11100110", B"00100001", B"11101000", B"00010110",
1216
 B"11011111", B"00011000", B"00100100", B"11100001", B"00100110",
1217
 B"00011101", B"11010101", B"11110101", B"00101100", B"11101001",
1218
 B"00000101", B"11011000", B"11100101", B"11100110", B"00100000",
1219
 B"00000110", B"11110001", B"00000000", B"00100000", B"00110110",
1220
 B"11011001", B"11010110", B"11100000", B"11011101", B"11010100",
1221
 B"11001100", B"00100101", B"00110001", B"11100001", B"11101110",
1222
 B"11010100", B"11011001", B"11101100", B"11100011", B"11100001",
1223
 B"11010010", B"00100001", B"00010010", B"11110110", B"00011000",
1224
 B"11011101", B"11010000", B"11011101", B"00001011", B"11011000",
1225
 B"00011011", B"11100101", B"11010011", B"00100000", B"00100101",
1226
 B"11110010", B"00001000", B"11100111", B"11100000", B"11010101",
1227
 B"00010101", B"00011000", B"11111000", B"11011100", B"11110111",
1228
 B"00101011", B"11001011", B"11101101", B"11011001", B"11001110",
1229
 B"00101111", B"00101001", B"00001110", B"11100010", B"00011110",
1230
 B"11011110", B"00000100", B"11100000", B"11011100", B"11100011",
1231
 B"00001000", B"11010101", B"00010001", B"11011111", B"11010000",
1232
 B"00010111", B"00011010", B"00110001", B"11101000", B"11011110",
1233
 B"00001010", B"00000010", B"11101010", B"00000010", B"00010101",
1234
 B"11001011", B"00000000", B"10111100", B"11011010", B"00111110",
1235
 B"11101110", B"00001111", B"00010111", B"11100100", B"11001101",
1236
 B"00110101", B"11011110", B"11010111", B"11010110", B"11110101",
1237
 B"00101100", B"00110101", B"00011110", B"11101100", B"00100111",
1238
 B"11111001", B"00011100", B"11010110", B"00010101", B"00010100",
1239
 B"11010000", B"11101101", B"00011101", B"00000101", B"11100111",
1240
 B"11100011", B"11011001", B"11110010", B"11011010", B"11101000",
1241
 B"00011010", B"00101011", B"00000010", B"00000110", B"11111110",
1242
 B"11011110", B"00010100", B"11101000", B"11010100", B"11100111",
1243
 B"11111001", B"00110101", B"11011011", B"11011000", B"00100010",
1244
 B"11001001", B"00011010", B"11101110", B"11010101", B"00111000",
1245
 B"00000000", B"11100111", B"11101100", B"11010101", B"00011001",
1246
 B"00001100", B"00100111", B"00010110", B"00001010", B"00101000",
1247
 B"00101000", B"00100110", B"00010110", B"00101010", B"00101010",
1248
 B"00101000", B"00000101", B"00011100", B"00110111", B"01000101",
1249
 B"11100000", B"00100111", B"11010011", B"00010111", B"11100011",
1250
 B"00010011", B"00110110", B"11010010", B"11110010", B"11100001",
1251
 B"11110110", B"11110011", B"11100010", B"11011100", B"11101110",
1252
 B"11110100", B"00011010", B"00101111", B"00100000", B"00001010",
1253
 B"11011011", B"11111001", B"00101101", B"00111000", B"00011010",
1254
 B"00100000", B"11101000", B"11100001", B"00101110", B"11111110",
1255
 B"11001100", B"00011011", B"11011111", B"11000000", B"11011100",
1256
 B"11101010", B"00010001", B"00101100", B"00010111", B"11010011",
1257
 B"00101000", B"11110101", B"00100101", B"11011001", B"11011011",
1258
 B"11111100", B"00110010", B"00011101", B"00100000", B"00011101",
1259
 B"11011100", B"11101101", B"11010010", B"00101110", B"11100111",
1260
 B"00010000", B"11001100", B"11110000", B"00100111", B"00011011",
1261
 B"11100001", B"11000110", B"11011101", B"11110100", B"00101100",
1262
 B"00001011", B"00101100", B"00011111", B"00011101", B"00100011",
1263
 B"11011111", B"11100101", B"00010111", B"00101000", B"11011010",
1264
 B"11101010", B"11000111", B"00100100", B"00110110", B"00001100",
1265
 B"11010010", B"00101000", B"11100111", B"00100000", B"00001111",
1266
 B"11010011", B"00010011", B"00101110", B"11000000", B"00111101",
1267
 B"00000000", B"11110011", B"11011111", B"11010010", B"00100000",
1268
 B"11110000", B"00100001", B"11100101", B"10111011", B"00010101",
1269
 B"00111100", B"11010110", B"00011111", B"00010011", B"00000010",
1270
 B"00010011", B"00110001", B"11101010", B"00011111", B"11110000",
1271
 B"00101001", B"00101100", B"11010110", B"00101001", B"00010111",
1272
 B"11111100", B"00000101", B"10111100", B"11100100", B"11100001",
1273
 B"11011110", B"00001100", B"11010011", B"00011000", B"11010000",
1274
 B"01001111", B"11101111", B"11111100", B"11010011", B"00100011",
1275
 B"00101111", B"11101100", B"11011111", B"11100100", B"11011110",
1276
 B"00100111", B"00110001", B"11110110", B"00110010", B"11101010",
1277
 B"00000111", B"11101000", B"00001110", B"11010001", B"11100011",
1278
 B"11110001", B"00010110", B"00001100", B"00110010", B"11010101",
1279
 B"01000100", B"11110011", B"11011111", B"11110001", B"00101100",
1280
 B"00101010", B"11000111", B"00110001", B"11001111", B"11011011",
1281
 B"11100010", B"00011011", B"00110011", B"11110000", B"11111000",
1282
 B"00011111", B"00011101", B"11010111", B"11011010", B"11011111",
1283
 B"11001000", B"11111011", B"00011111", B"00010101", B"00001101",
1284
 B"11100010", B"00101000", B"11101000", B"00000010", B"11101000",
1285
 B"00001110", B"11100100", B"11001000", B"00000111", B"11001100",
1286
 B"11110001", B"11010011", B"11100000", B"00101110", B"11010110",
1287
 B"11011010", B"00110010", B"11111101", B"11010000", B"11101001",
1288
 B"11100000", B"11101111", B"11010101", B"11101001", B"11111010",
1289
 B"00001000", B"00110000", B"00010001", B"00001111", B"00000010",
1290
 B"11010110", B"11011001", B"00101001", B"00100101", B"11101001",
1291
 B"00100101", B"11001110", B"11100110", B"11100001", B"00100100",
1292
 B"00001111", B"00010010", B"00011101", B"11011111", B"00010010",
1293
 B"11111111", B"11101011", B"00100000", B"00101001", B"00111101",
1294
 B"00100011", B"11011000", B"11111101", B"11001110", B"00011100",
1295
 B"11001001", B"11011101", B"11100111", B"00011001", B"00001110",
1296
 B"11000110", B"11100010", B"00100100", B"00011101", B"00001000",
1297
 B"11110101", B"00110100", B"11011100", B"00101101", B"11100111",
1298
 B"00110010", B"11101111", B"00010010", B"11110001", B"11110011",
1299
 B"01000010", B"00011111", B"11000110", B"11001000", B"00101101",
1300
 B"00111001", B"00010001", B"00100110", B"11100110", B"11011110",
1301
 B"11001111", B"00001011", B"00111110", B"11101010", B"11010000",
1302
 B"11011010", B"11010110", B"11111000", B"00100101", B"11000001",
1303
 B"00100001", B"11011100", B"00000000", B"11110010", B"00001010",
1304
 B"00101100", B"00101100", B"00010110", B"11111101", B"00101001",
1305
 B"00010100", B"11111000", B"11010010", B"00011111", B"00110101",
1306
 B"00101001", B"11111001", B"00100100", B"00100111", B"11011111",
1307
 B"11111001", B"00101001", B"00101001", B"00110010", B"11100001",
1308
 B"11100000", B"00100100", B"00110010", B"11011010", B"11100000",
1309
 B"11011101", B"00100101", B"00101001", B"11100000", B"00010110",
1310
 B"11101010", B"11001011", B"11010111", B"00011001", B"00001010",
1311
 B"11101001", B"00100101", B"00011111", B"11010100", B"11001100",
1312
 B"00110110", B"00011011", B"00010110", B"11110111", B"00110011",
1313
 B"11110111", B"11110111", B"11100000", B"00011101", B"00000010",
1314
 B"11010010", B"11000101", B"11111001", B"11100001", B"00001100",
1315
 B"11011111", B"00110001", B"11001000", B"11100111", B"11010111",
1316
 B"11101011", B"00000000", B"00001011", B"00011010", B"00101101",
1317
 B"00010000", B"11011001", B"00011011", B"00100001", B"11101111",
1318
 B"00101010", B"00101110", B"11100111", B"00101011", B"00011110",
1319
 B"00000001", B"11101110", B"00011001", B"11000110", B"00111001",
1320
 B"11100101", B"00111100", B"00011111", B"00001000", B"00010110",
1321
 B"00010001", B"00010000", B"00100100", B"00111110", B"11100000",
1322
 B"00100001", B"00010110", B"11111110", B"11100110", B"11010001",
1323
 B"11101001", B"11011000", B"11010001", B"11101110", B"00110101",
1324
 B"00101010", B"00101010", B"00011101", B"11100011", B"11100001",
1325
 B"00000111", B"00100111", B"11011000", B"00011001", B"00001110",
1326
 B"00000001", B"11011000", B"00101100", B"11100000", B"00001110",
1327
 B"11100110", B"00011001", B"11110011", B"11101111", B"00011110",
1328
 B"00010001", B"00100111", B"11100101", B"00101111", B"00100100",
1329
 B"11100000", B"00011111", B"00110010", B"11110101", B"00011000",
1330
 B"11101100", B"11101110", B"00101111", B"11101010", B"11010010",
1331
 B"11010000", B"11011010", B"00100101", B"00011010", B"11101011",
1332
 B"00011111", B"00111000", B"11011110", B"11111100", B"00100111",
1333
 B"00011011", B"00111100", B"00101111", B"11100101", B"00101111",
1334
 B"11011001", B"00100011", B"11101011", B"11011011", B"11111000",
1335
 B"11101110", B"11010100", B"00100010", B"00001000", B"00001110",
1336
 B"00010100", B"00100010", B"11100000", B"00100000", B"00100111",
1337
 B"11010100", B"00100001", B"00110011", B"11100101", B"00110011",
1338
 B"00010101", B"00100011", B"11001110", B"00100011", B"11001001",
1339
 B"00110100", B"11001111", B"00011010", B"11011110", B"00110110",
1340
 B"11100001", B"11110000", B"11010101", B"00100000", B"01000000",
1341
 B"00111000", B"00110110", B"00011101", B"11001011", B"00100001",
1342
 B"11010010", B"00100110", B"11010000", B"00100110", B"00011000",
1343
 B"11001011", B"00011110", B"00001110", B"11100110", B"11010011",
1344
 B"00001010", B"11110111", B"11110010", B"11101011", B"11100010",
1345
 B"11110100", B"00101011", B"11010000", B"00001010", B"00110111",
1346
 B"00100110", B"11010000", B"01001100", B"00001111", B"11100001",
1347
 B"11011110", B"00101111", B"11010110", B"11000000", B"10110101",
1348
 B"00010010", B"00101000", B"11001101", B"00010010", B"11110010",
1349
 B"00100011", B"11100011", B"00011110", B"00011110", B"11001011",
1350
 B"00110110", B"00101100", B"11101001", B"00101100", B"00100001",
1351
 B"00100110", B"11001000", B"00100101", B"11011011", B"00110010",
1352
 B"11101011", B"11100010", B"11011100", B"00111000", B"00101011",
1353
 B"00111000", B"00100011", B"11100100", B"11100000", B"00001010",
1354
 B"11011100", B"11100001", B"11111000", B"11101111", B"00011111",
1355
 B"11010101", B"00111101", B"11111101", B"11101100", B"00100110",
1356
 B"00001010", B"00100001", B"00101111", B"11111111", B"11100110",
1357
 B"11010011", B"00100100", B"11011011", B"00011000", B"11101001",
1358
 B"11011101", B"00011010", B"00100111", B"00101010", B"00100100",
1359
 B"11100101", B"11011100", B"00011001", B"00010101", B"11100000",
1360
 B"11100000", B"11100111", B"00001111", B"11011110", B"00110010",
1361
 B"11011111", B"11100011", B"00101010", B"00010101", B"11011001",
1362
 B"11100000", B"11100111", B"00101011", B"00100111", B"11101010",
1363
 B"00011111", B"11011100", B"00101010", B"11011000", B"00111110",
1364
 B"00110101", B"11010011", B"00110100", B"00100111", B"11111010",
1365
 B"00100010", B"11101000", B"00111001", B"11000010", B"11010010",
1366
 B"11000101", B"00100010", B"00101101", B"00001011", B"00110010",
1367
 B"00010100", B"11010000", B"00100010", B"11011010", B"00010111",
1368
 B"11001000", B"00110000", B"00101100", B"00110110", B"00110110",
1369
 B"00010011", B"00101011", B"00101011", B"00110001", B"00011001",
1370
 B"11010110", B"00010000", B"00100101", B"11100011", B"00101000",
1371
 B"00000010", B"11011101", B"11001000", B"00100101", B"00010000",
1372
 B"00101110", B"11101001", B"00101011", B"11100001", B"00010000",
1373
 B"11011110", B"00110010", B"00101001", B"11100101", B"00000001",
1374
 B"11100110", B"11111110", B"11101011", B"00011000", B"01000000",
1375
 B"11101001", B"00100000", B"00100110", B"11010001", B"11100110",
1376
 B"00101011", B"11111110", B"11011111", B"00101010", B"00011101",
1377
 B"11011001", B"00000111", B"00011100", B"11101110", B"00111010",
1378
 B"00011100", B"00111101", B"00001010", B"00111010", B"00100011",
1379
 B"00101011", B"00011000", B"00010001", B"00001110", B"11010001",
1380
 B"11011100", B"00110001", B"00011000", B"11110101", B"11110000",
1381
 B"11011111", B"11010010", B"11011010", B"11001001", B"01000001",
1382
 B"00100001", B"00111011", B"00110000", B"11011100", B"00101001",
1383
 B"11011111", B"00011100", B"11110110", B"11101000", B"00100010",
1384
 B"00110000", B"00010101", B"00011111", B"10111101", B"00011100",
1385
 B"00110010", B"11011001", B"11101100", B"00010100", B"00110010",
1386
 B"11101011", B"11010110", B"00100010", B"11100011", B"11001000",
1387
 B"11110101", B"11010100", B"00011110", B"00001010", B"11101001",
1388
 B"11011111", B"11000101", B"00011011", B"11001001", B"00100010",
1389
 B"11110010", B"00000011", B"11000001", B"00110000", B"00111010",
1390
 B"11100111", B"00111000", B"11011010", B"00011111", B"11100100",
1391
 B"00111110", B"11100111", B"11100010", B"11001011", B"00101111",
1392
 B"00011110", B"11100000", B"11110011", B"11011011", B"00001100",
1393
 B"00101011", B"00100101", B"00100000", B"00010100", B"00101011",
1394
 B"11010001", B"11100111", B"00011111", B"11010001", B"11100000",
1395
 B"11010010", B"11001011", B"00011101", B"00011010", B"11101011",
1396
 B"00101100", B"00011101", B"11101110", B"11111001", B"00110111",
1397
 B"00100101", B"00001101", B"11010011", B"11100001", B"00101110",
1398
 B"00101110", B"11011001", B"11101010", B"11010111", B"11100111",
1399
 B"00010011", B"11100110", B"00101000", B"11001000", B"11001010",
1400
 B"00100110", B"00101001", B"00100000", B"00100010", B"11101011",
1401
 B"00100001", B"11000100", B"00111111", B"11011101", B"11100111",
1402
 B"00000010", B"00001101", B"00110000", B"11100000", B"01000000",
1403
 B"11101010", B"00101100", B"11101110", B"11010010", B"00100111",
1404
 B"10111011", B"00100010", B"11101110", B"11011101", B"00100010",
1405
 B"00110110", B"00001011", B"00100010", B"11001010", B"00010011",
1406
 B"11000101", B"00010110", B"11011010", B"11110111", B"11100110",
1407
 B"11100011", B"11010011", B"00011010", B"00100111", B"00001001",
1408
 B"00011111", B"11101110", B"11010011", B"11101101", B"01000011",
1409
 B"00011101", B"10111011", B"00011111", B"10111111", B"11111111",
1410
 B"11101100", B"10111011", B"11010110", B"00101010", B"00011010",
1411
 B"00010011", B"00101100", B"11011000", B"00011001", B"11110010",
1412
 B"11011001", B"11101000", B"00110010", B"00110001", B"11001000",
1413
 B"11101000", B"11111111", B"00000110", B"00010100", B"00000110",
1414
 B"00011110", B"11010100", B"11111010", B"00100110", B"00100001",
1415
 B"00100010", B"00000001", B"00011100", B"11011000", B"00111000",
1416
 B"11101001", B"00011001", B"00110001", B"00001100", B"11101110",
1417
 B"00010101", B"11010111", B"00011110", B"11101100", B"11011010",
1418
 B"11010001", B"11100010", B"11110010", B"00010110", B"00001110",
1419
 B"00101110", B"00100100", B"00010111", B"00010100", B"00011011",
1420
 B"00011101", B"00010110", B"00100010", B"00101111", B"00100011",
1421
 B"11011101", B"00010011", B"00011011", B"00110010", B"11100000",
1422
 B"00101100", B"11001110", B"00001111", B"00100011", B"00101100",
1423
 B"00100010", B"00100101", B"00100000", B"00110001", B"00100100",
1424
 B"00010000", B"11011011", B"11010010", B"11010111", B"11011011",
1425
 B"00101010", B"00010000", B"00011111", B"00101100", B"11011000",
1426
 B"11100110", B"11010111", B"00011110", B"00011100", B"11111001",
1427
 B"00100100", B"11010001", B"00010011", B"00100011", B"11100001",
1428
 B"11010111", B"11111100", B"00011111", B"11010010", B"11011010",
1429
 B"00101001", B"11011101", B"11010000", B"00100011", B"11101100",
1430
 B"11011111", B"11100011", B"11100100", B"11101011", B"00001101",
1431
 B"01000110", B"00101000", B"11011101", B"00100110", B"11001101",
1432
 B"00101010", B"00100000", B"11100101", B"00011001", B"11011000",
1433
 B"11010010", B"11100111", B"00011010", B"00010111", B"00011111",
1434
 B"11111110", B"00001110", B"00101011", B"11101001", B"00110100",
1435
 B"00111000", B"11100000", B"11100110", B"00100101", B"00001110",
1436
 B"00001000", B"11011110", B"00011000", B"11010100", B"00100010",
1437
 B"00100101", B"01000000", B"00010011", B"11100001", B"00100100",
1438
 B"11100010", B"00001111", B"11010011", B"00100110", B"00011110",
1439
 B"00001100", B"11111011", B"00100101", B"11010110", B"00001101",
1440
 B"11101101", B"11100101", B"00011000", B"00100001", B"11011111",
1441
 B"11100011", B"11000111", B"11010011", B"11001110", B"00010101",
1442
 B"11010110", B"00100001", B"00110101", B"11101101", B"00001110",
1443
 B"00100101", B"00000100", B"11000111", B"00011101", B"00011011",
1444
 B"00111001", B"11000111", B"00100000", B"11100111", B"00100011",
1445
 B"11101001", B"11101101", B"00110011", B"11001100", B"00001110",
1446
 B"11000110", B"11111000", B"00001010", B"11111000", B"11011010",
1447
 B"00100000", B"00100011", B"00010111", B"00111001", B"11001100",
1448
 B"11110010", B"00011110", B"00011011", B"00101000", B"00101011",
1449
 B"00010100", B"00010111", B"00101101", B"00100110", B"11010010",
1450
 B"11100000", B"00010101", B"11000010", B"01000000", B"11001000",
1451
 B"11000110", B"00100111", B"11000111", B"00001000", B"11010110",
1452
 B"11111000", B"00101011", B"00110100", B"00101010", B"00000110",
1453
 B"11000011", B"00010000", B"00000010", B"11000010", B"11010100",
1454
 B"00011100", B"00111000", B"10111110", B"11101010", B"00011011",
1455
 B"11110000", B"00000110", B"11110000", B"00111100", B"00101101",
1456
 B"11101011", B"11101000", B"11101000", B"11110001", B"00001010",
1457
 B"00101011", B"00001101", B"00011110", B"11011101", B"00000001",
1458
 B"11100111", B"11110001", B"00011110", B"00100100", B"00011000",
1459
 B"11010101", B"11100101", B"00011001", B"00000000", B"11011100",
1460
 B"00100111", B"00110000", B"11100000", B"11100010", B"00010001",
1461
 B"00111011", B"11101101", B"11010101", B"11100010", B"11010111",
1462
 B"00010001", B"10110000", B"00010010", B"00010011", B"00110010",
1463
 B"00101111", B"00010111", B"00011010", B"00010110", B"00011011",
1464
 B"00011110", B"00101100", B"00100010", B"00101010", B"00110010",
1465
 B"00101101", B"00110110", B"00001001", B"00110001", B"11011111",
1466
 B"11010010", B"00100000", B"11010100", B"01000100", B"11100001",
1467
 B"11010011", B"00110010", B"11010101", B"11011110", B"00110101",
1468
 B"11100011", B"00010111", B"11010010", B"11100000", B"00010000",
1469
 B"00001010", B"00100010", B"11110010", B"01000100", B"00001001",
1470
 B"11011001", B"11110110", B"00100011", B"00011001", B"00101010",
1471
 B"00001000", B"00100000", B"00011100", B"00010101", B"00101010",
1472
 B"00100100", B"11010101", B"00011000", B"00011010", B"00110001",
1473
 B"11110011", B"00001101", B"11001011", B"00110100", B"00111110",
1474
 B"11100000", B"00111100", B"00110000", B"11011100", B"00011000",
1475
 B"00101000", B"11110010", B"11010111", B"00111000", B"00100100",
1476
 B"11010101", B"11001110", B"11011111", B"11000110", B"00000001",
1477
 B"11101100", B"00001110", B"00010111", B"11011101", B"11110101",
1478
 B"11100011", B"11101111", B"11001010", B"11110001", B"11011001",
1479
 B"11100010", B"11110101", B"00100011", B"00011101", B"00011111",
1480
 B"00101000", B"11100100", B"00001100", B"00011101", B"11011101",
1481
 B"11100001", B"11000010", B"11101011", B"11100111", B"00011110",
1482
 B"00100011", B"00000110", B"11000010", B"00011101", B"11100100",
1483
 B"00011101", B"11011110", B"11011100", B"11101001", B"11011011",
1484
 B"00101010", B"00011111", B"11000111", B"00101110", B"11111001",
1485
 B"11000000", B"11010010", B"11100111", B"00000100", B"00111000",
1486
 B"11011101", B"00010111", B"11010011", B"11011000", B"11000111",
1487
 B"11010101", B"11100011", B"00100111", B"00010101", B"00011100",
1488
 B"00101001", B"01000001", B"11100000", B"10110100", B"11011111",
1489
 B"11101010", B"00110011", B"11011111", B"00011101", B"11000000",
1490
 B"00110100", B"11110000", B"11101001", B"11100001", B"11010100",
1491
 B"00011000", B"00110000", B"00110000", B"00101100", B"00001000",
1492
 B"00101101", B"00100100", B"00101010", B"00000111", B"00100011",
1493
 B"11110000", B"11001000", B"00100001", B"00110111", B"00000000",
1494
 B"00101101", B"11101001", B"11100011", B"00010111", B"11100010",
1495
 B"11100110", B"11100111", B"11100010", B"00100011", B"11101000",
1496
 B"01001010", B"11110001", B"11011100", B"11001010", B"00011000",
1497
 B"00101011", B"11110110", B"00100101", B"11010101", B"11100011",
1498
 B"00011001", B"11001111", B"00010010", B"11010101", B"11110100",
1499
 B"00101101", B"00101101", B"00100001", B"11011111", B"00100100",
1500
 B"11110100", B"11100010", B"00100000", B"00011011", B"11101101",
1501
 B"00110000", B"11010010", B"00001101", B"00110011", B"11000000",
1502
 B"00011010", B"00110110", B"11100010", B"01000010", B"00110010",
1503
 B"11101000", B"00100111", B"11101111", B"11110111", B"11001000",
1504
 B"00010011", B"11100111", B"11001110", B"00110010", B"00000011",
1505
 B"00011000", B"11011110", B"11001001", B"00100001", B"00100010",
1506
 B"00011110", B"00010010", B"00100101", B"00011101", B"00111001",
1507
 B"00001100", B"00010111", B"11010010", B"11000110", B"00010000",
1508
 B"00100011", B"00101011", B"00000010", B"11010010", B"10111100",
1509
 B"00100110", B"00001010", B"11101111", B"11010001", B"00010011",
1510
 B"00101110", B"00001001", B"11101000", B"11011000", B"11100011",
1511
 B"00100101", B"00001100", B"00010111", B"00111010", B"11110111",
1512
 B"11010110", B"01000111", B"00100100", B"11001011", B"11111001",
1513
 B"00100000", B"00100001", B"11010111", B"11101000", B"00001011",
1514
 B"00011010", B"11000101", B"00001111", B"00001101", B"11100101",
1515
 B"11011111", B"00110001", B"11101101", B"00010001", B"00100111",
1516
 B"11101111", B"11011100", B"11100010", B"11010110", B"00000011",
1517
 B"00010100", B"00100101", B"11100111", B"00011010", B"00011100",
1518
 B"11110110", B"11010101", B"00011110", B"11110110", B"00100011",
1519
 B"11111101", B"11100110", B"11101010", B"00101101", B"00100000",
1520
 B"11100001", B"00010001", B"11001101", B"11011011", B"00011101",
1521
 B"11101001", B"11000001", B"11101001", B"11000010", B"10110100",
1522
 B"00011000", B"11011011", B"00011001", B"11100100", B"11100001",
1523
 B"00010001", B"00110110", B"11110001", B"00100100", B"11011011",
1524
 B"11110001", B"11010001", B"00101010", B"00011010", B"11010100",
1525
 B"11100011", B"11011100", B"11110010", B"00001110", B"00010100",
1526
 B"11101011", B"00010111", B"11011101", B"00011010", B"00010110",
1527
 B"00100110", B"10111010", B"00010110", B"11010110", B"00110000",
1528
 B"11101100", B"00110000", B"11110110", B"10110110", B"00110000",
1529
 B"11010100", B"11100101", B"11100001", B"11010010", B"11011101",
1530
 B"00001011", B"00100010", B"11001011", B"11110000", B"10111100",
1531
 B"11011010", B"11011110", B"00011001", B"00001010", B"00100110",
1532
 B"00010011", B"00100100", B"00000101", B"00100001", B"00010001",
1533
 B"11000101", B"11100000", B"11110100", B"11011101", B"00000111",
1534
 B"00010000", B"00100011", B"00001001", B"00101111", B"11011111",
1535
 B"00110011", B"00110010", B"11011110", B"00010111", B"00110011",
1536
 B"11100111", B"00100111", B"11100011", B"00011101", B"00011100",
1537
 B"11110000", B"00111010", B"00100100", B"11101111", B"00011001",
1538
 B"00010010", B"11100111", B"11110100", B"00001000", B"00101010",
1539
 B"11010101", B"11100000", B"11010011", B"11001001", B"00010111",
1540
 B"11110010", B"00100111", B"11100001", B"11100011", B"00000100",
1541
 B"00011000", B"11011001", B"11100010", B"00001101", B"00010011",
1542
 B"11110010", B"11101101", B"11011001", B"11010110", B"10110011",
1543
 B"00101011", B"11001111", B"00101110", B"11110001", B"11100111",
1544
 B"00011100", B"00010010", B"11100010", B"00100001", B"11110110",
1545
 B"11110101", B"11101101", B"00100010", B"00101100", B"00011111",
1546
 B"11111110", B"00110110", B"11011000", B"00100101", B"11010111",
1547
 B"00111100", B"00000110", B"11100010", B"11100110", B"00011010",
1548
 B"11111100", B"00100011", B"00001111", B"11011010", B"11011001",
1549
 B"11100100", B"11110001", B"00010011", B"11100111", B"00010010",
1550
 B"11011001", B"11111100", B"01010111", B"00011111", B"11100101",
1551
 B"00100011", B"00111011", B"11100000", B"00010011", B"00101011",
1552
 B"11101010", B"00010110", B"00011001", B"00001101", B"11010100",
1553
 B"00101110", B"11101000", B"00100110", B"11000110", B"11001110",
1554
 B"11011010", B"11100000", B"00011111", B"00011111", B"11101001",
1555
 B"00010111", B"11101110", B"00010001", B"00010011", B"11011101",
1556
 B"11101000", B"00010110", B"00100010", B"11010011", B"11001101",
1557
 B"11101011", B"11010010", B"00101100", B"11100000", B"00011000",
1558
 B"11100010", B"10111111", B"00101100", B"11100110", B"11010101",
1559
 B"01001111", B"11000101", B"00101101", B"11010011", B"11100100",
1560
 B"00010000", B"00000110", B"00011011", B"00100101", B"00110101",
1561
 B"00100100", B"00010101", B"00010011", B"00111100", B"11011000",
1562
 B"11101000", B"11000010", B"00010111", B"00101010", B"11010111",
1563
 B"00001110", B"10111000", B"00100101", B"00110000", B"11001011",
1564
 B"00010001", B"00010010", B"10110100", B"11011111", B"00010010",
1565
 B"11101010", B"00011111", B"11111101", B"11010010", B"11100101",
1566
 B"11001111", B"11000011", B"11100110", B"00100000", B"11101100",
1567
 B"11110100", B"00011101", B"11100011", B"11100011", B"11100111",
1568
 B"11100100", B"11011000", B"00011110", B"00100011", B"00001111",
1569
 B"11101101", B"00010101", B"11011110", B"00100100", B"00011001",
1570
 B"00010111", B"11111100", B"00010101", B"00100101", B"00011100",
1571
 B"00100010", B"00001000", B"11101111", B"00011001", B"00010100",
1572
 B"00101010", B"11110000", B"00001010", B"11110000", B"00011100",
1573
 B"11000100", B"01010011", B"11010100", B"00110011", B"11110000",
1574
 B"11011110", B"00010111", B"01000010", B"11011000", B"00100100",
1575
 B"11101000", B"11011000", B"11011110", B"00111111", B"00011110",
1576
 B"11010101", B"11010001", B"01001011", B"00011100", B"00101010",
1577
 B"11001111", B"00111101", B"11010100", B"00011101", B"00010110",
1578
 B"11101100", B"11100101", B"00011010", B"11100101", B"11011100",
1579
 B"11100010", B"11000110", B"00011110", B"00100110", B"11101111",
1580
 B"00101001", B"00100000", B"11110000", B"11011100", B"00010001",
1581
 B"11001100", B"00111010", B"11100010", B"00000000", B"11011010",
1582
 B"11011011", B"00100010", B"00010000", B"11011000", B"11100001",
1583
 B"11111011", B"11011001", B"00110111", B"00100011", B"00100010",
1584
 B"00101110", B"11001001", B"00011110", B"00100110", B"00100000",
1585
 B"11010011", B"00101110", B"11100100", B"00010001", B"00011010",
1586
 B"00111011", B"11100111", B"11000100", B"00101010", B"00101000",
1587
 B"11100111", B"11011001", B"11101011", B"00101100", B"11011000",
1588
 B"00100100", B"11101110", B"11110101", B"00111000", B"00001100",
1589
 B"00010001", B"00001001", B"00101101", B"00100010", B"00110111",
1590
 B"00111101", B"00110010", B"00010100", B"00101010", B"00101100",
1591
 B"11011111", B"00100101", B"00101110", B"11110100", B"11010010",
1592
 B"00101000", B"11101101", B"00011010", B"11101100", B"11101011",
1593
 B"11101011", B"00101000", B"00111001", B"11010111", B"11001111",
1594
 B"11101000", B"11011010", B"00111110", B"00000111", B"11011000",
1595
 B"00010010", B"11011001", B"11011001", B"00011110", B"00100001",
1596
 B"00010001", B"11010110", B"00001100", B"11000110", B"00111000",
1597
 B"00011100", B"00000111", B"11001100", B"11001101", B"00110110",
1598
 B"00101000", B"11100000", B"11000011", B"00100111", B"00000111",
1599
 B"00011001", B"00110010", B"11101100", B"00101111", B"00100001",
1600
 B"11111001", B"00011100", B"11100000", B"00101010", B"11011100",
1601
 B"11100110", B"11010011", B"00100000", B"00100101", B"10111101",
1602
 B"00100110", B"00010101", B"11100100", B"11100001", B"11011010",
1603
 B"00000011", B"11010001", B"00100110", B"11010001", B"00011101",
1604
 B"00100111", B"11100011", B"00110000", B"00001000", B"11101100",
1605
 B"00001001", B"10111110", B"11011000", B"11100111", B"11010011",
1606
 B"00101000", B"11001011", B"00111001", B"11101011", B"11011011",
1607
 B"11001001", B"11111010", B"00101001", B"11010010", B"00000000",
1608
 B"11000110", B"00011111", B"11010000", B"11011010", B"11001111",
1609
 B"11011010", B"00100000", B"10111110", B"00001011", B"00010000",
1610
 B"00100000", B"11010111", B"11101110", B"00001111", B"00010001",
1611
 B"11100011", B"11010111", B"00010000", B"11110101", B"11101010",
1612
 B"11101010", B"11010101", B"00001110", B"11110000", B"00110101",
1613
 B"00010011", B"11101100", B"00011110", B"00011110", B"11111000",
1614
 B"00011001", B"00101100", B"11011110", B"11010111", B"00000010",
1615
 B"00101010", B"00110101", B"00010101", B"00000100", B"11101010",
1616
 B"11100111", B"11011000", B"00011111", B"11100100", B"00100100",
1617
 B"11001101", B"11111011", B"00011010", B"00011101", B"00000000",
1618
 B"11010000", B"11101001", B"00110100", B"11001011", B"11000011",
1619
 B"11011111", B"11100001", B"11010111", B"00110101", B"11101110",
1620
 B"00000000", B"11101000", B"00011101", B"00011011", B"11001101",
1621
 B"11001100", B"11100001", B"11010100", B"11101011", B"00110010",
1622
 B"00100111", B"00011000", B"00101010", B"11100110", B"11110110",
1623
 B"11101000", B"00011000", B"00011001", B"11100000", B"00011001",
1624
 B"11010111", B"11101001", B"00101110", B"11001101", B"11011010",
1625
 B"11100111", B"00101010", B"00101000", B"11011011", B"00001000",
1626
 B"11001100", B"11110101", B"11010110", B"11101100", B"00010001",
1627
 B"11100111", B"00001011", B"11111010", B"11110000", B"11111110",
1628
 B"00100111", B"00100010", B"11100010", B"00010111", B"11011001",
1629
 B"00100100", B"00100100", B"11011010", B"11100100", B"00010111",
1630
 B"00101010", B"11100011", B"11110110", B"00101011", B"00010110",
1631
 B"11100001", B"11100100", B"00001101", B"00110100", B"11101011",
1632
 B"11110000", B"00010111", B"00010001", B"11010000", B"11011101",
1633
 B"00100101", B"00010010", B"11010110", B"11110110", B"00100001",
1634
 B"00100111", B"00010100", B"11011101", B"00101001", B"11011101",
1635
 B"00110001", B"11010000", B"00111111", B"11111010", B"11100000",
1636
 B"00011100", B"11101011", B"11010011", B"11110000", B"11100011",
1637
 B"11010101", B"11111100", B"10111010", B"00011101", B"00101000",
1638
 B"11000111", B"00100100", B"11110000", B"11100100", B"00001100",
1639
 B"00101110", B"00110111", B"11000111", B"00000010", B"11010100",
1640
 B"00011110", B"00100111", B"00010011", B"00010000", B"00101100",
1641
 B"00011011", B"00011111", B"01001111", B"00010110", B"11010100",
1642
 B"11010001", B"00101100", B"00001110", B"00011000", B"00011101",
1643
 B"11101100", B"11010110", B"00010001", B"00101011", B"00011101",
1644
 B"11100010", B"00011100", B"11101011", B"00011100", B"11010101",
1645
 B"00100110", B"11011011", B"00011101", B"00101001", B"11001001",
1646
 B"00001110", B"00011111", B"11001110", B"00001010", B"00011101",
1647
 B"00001011", B"11100011", B"00100011", B"11010100", B"00001111",
1648
 B"11011010", B"00010001", B"00101111", B"11001110", B"00011101",
1649
 B"00011010", B"11000100", B"11011100", B"00010000", B"11011001",
1650
 B"00110001", B"00101111", B"11101011", B"11110110", B"11101111",
1651
 B"11011110", B"11100110", B"00011101", B"00011000", B"11011000",
1652
 B"11110110", B"00110010", B"00001110", B"11110000", B"11011100",
1653
 B"00010111", B"11011011", B"00111110", B"00100111", B"11100010",
1654
 B"00001110", B"00011111", B"11011001", B"00001110", B"00111010",
1655
 B"11011000", B"11110010", B"00000101", B"00011010", B"11011111",
1656
 B"11100000", B"00010001", B"00000101", B"11001010", B"11010101",
1657
 B"00000111", B"00100011", B"11001001", B"11101100", B"00101001",
1658
 B"11110001", B"11100001", B"11011011", B"11010000", B"00101000",
1659
 B"11100110", B"01001010", B"11011101", B"00011001", B"00110100",
1660
 B"11101110", B"00010100", B"11001111", B"11101010", B"11011110",
1661
 B"11100111", B"11110111", B"11011001", B"11011010", B"00010111",
1662
 B"00101100", B"00010001", B"00101110", B"11110110", B"11011100",
1663
 B"00101001", B"11010100", B"00001100", B"11010011", B"11101010",
1664
 B"00110101", B"00111101", B"00110001", B"00001100", B"00101011",
1665
 B"00011110", B"00000100", B"00100010", B"00101001", B"00011110",
1666
 B"00011001", B"00011110", B"00001100", B"00100110", B"00011110",
1667
 B"11111111", B"00100000", B"11101010", B"00011111", B"11101111",
1668
 B"00001100", B"11001001", B"11101000", B"11110111", B"00100111",
1669
 B"00101110", B"00010000", B"00100100", B"00011001", B"00010101",
1670
 B"00010001", B"00011010", B"00110100", B"00011000", B"11110101",
1671
 B"11011001", B"00111000", B"11101101", B"11101001", B"11010011",
1672
 B"11010001", B"00000001", B"11110001", B"11011101", B"01001000",
1673
 B"11010110", B"11100100", B"11100110", B"11100100", B"00011111",
1674
 B"00111101", B"00101000", B"11011110", B"00011011", B"11011001",
1675
 B"00011011", B"11010000", B"11010000", B"11111001", B"00101111",
1676
 B"00100111", B"00010100", B"00010110", B"11011011", B"11100111",
1677
 B"11010101", B"11011001", B"11100000", B"11011101", B"00001010",
1678
 B"00011101", B"00010010", B"00101001", B"11101110", B"11110011",
1679
 B"00010001", B"00101110", B"00010111", B"00010110", B"11100101",
1680
 B"11101001", B"00110001", B"00010111", B"00010110", B"00011101",
1681
 B"00011001", B"00100110", B"00100101", B"00001011", B"11000111",
1682
 B"00001111", B"00100011", B"11100000", B"11110000", B"10111100",
1683
 B"11100010", B"11101000", B"11011100", B"11100100", B"00100001",
1684
 B"11101000", B"00101100", B"11000000", B"11001111", B"00100011",
1685
 B"00100010", B"00010011", B"00110110", B"11110111", B"00011001",
1686
 B"11011100", B"00100000", B"11100001", B"11001100", B"00100011",
1687
 B"00111110", B"11010111", B"11111101", B"11101000", B"11100101",
1688
 B"11100110", B"00010010", B"11011010", B"00101000", B"10111001",
1689
 B"11100010", B"11100000", B"00100001", B"00010010", B"00100101",
1690
 B"11011001", B"00111011", B"11011111", B"11011100", B"11100111",
1691
 B"00011110", B"00101100", B"00010111", B"11100111", B"00010110",
1692
 B"11110000", B"11011110", B"11011100", B"00100001", B"00011110",
1693
 B"11011110", B"00011110", B"00100100", B"00010101", B"11010111",
1694
 B"00101101", B"11101100", B"00101000", B"00101101", B"11011011",
1695
 B"00010110", B"11011011", B"11011001", B"11101011", B"11111000",
1696
 B"00100000", B"00100100", B"00011000", B"00110000", B"11011000",
1697
 B"00011101", B"11000000", B"00100111", B"11011110", B"11001111",
1698
 B"11101111", B"00101001", B"00111110", B"00010010", B"00110101",
1699
 B"11111110", B"11100000", B"11011110", B"11001110", B"11110010",
1700
 B"11011111", B"00111100", B"00010000", B"00001111", B"00001111",
1701
 B"10111111", B"11000100", B"11110101", B"11101010", B"00010110",
1702
 B"00110110", B"00100011", B"00100101", B"11010100", B"00100100",
1703
 B"00001011", B"00010111", B"11010011", B"00100100", B"11111001",
1704
 B"00101011", B"00000010", B"11011111", B"11110010", B"00100100",
1705
 B"00110001", B"11011100", B"00011100", B"10111111", B"11011100",
1706
 B"00101111", B"00011000", B"00100001", B"11110010", B"00010010",
1707
 B"11101111", B"11101101", B"00100001", B"11100000", B"00011010",
1708
 B"11100100", B"11011011", B"11010110", B"00001100", B"00000100",
1709
 B"00101101", B"00100110", B"11011010", B"11001011", B"00001010",
1710
 B"00001110", B"11100011", B"11001110", B"11011010", B"11011111",
1711
 B"11100011", B"11101010", B"00010100", B"00101001", B"00010111",
1712
 B"00001111", B"00001101", B"11010110", B"11011110", B"00011010",
1713
 B"11101100", B"11000011", B"11010101", B"11101000", B"11011101",
1714
 B"00100001", B"00101010", B"11110101", B"11011110", B"11011110",
1715
 B"11100000", B"11001100", B"11010000", B"11111011", B"11110101",
1716
 B"00101001", B"11011011", B"11100110", B"00111011", B"00001110",
1717
 B"00001001", B"00011100", B"11010100", B"11010010", B"00100011",
1718
 B"00110001", B"11110010", B"11011100", B"11011010", B"11011010",
1719
 B"11111010", B"11011101", B"00011100", B"11000101", B"11010010",
1720
 B"00010010", B"00000001", B"00100001", B"11010111", B"11001010",
1721
 B"11001110", B"00010010", B"00010001", B"11101101", B"00010111",
1722
 B"00100010", B"11100010", B"00001010", B"00110100", B"11110101",
1723
 B"11111000", B"00100100", B"10111111", B"00000001", B"00100111",
1724
 B"00011100", B"00010110", B"00101111", B"11110001", B"11011011",
1725
 B"00100101", B"00011111", B"00011001", B"00110111", B"00100111",
1726
 B"00100010", B"00011101", B"00100001", B"00101010", B"11001001",
1727
 B"00100001", B"11100000", B"11100111", B"11010000", B"00010011",
1728
 B"00010001", B"00011010", B"00011001", B"00100010", B"00100010",
1729
 B"00100100", B"00011111", B"00110010", B"00100101", B"00011111",
1730
 B"00100100", B"11101100", B"11101011", B"01000001", B"00011101",
1731
 B"11101100", B"11011111", B"00001001", B"11010001", B"11011110",
1732
 B"11111110", B"00110001", B"11001000", B"00010111", B"11011110",
1733
 B"11110011", B"00101011", B"00001110", B"11100000", B"11010101",
1734
 B"11110110", B"11011110", B"11000000", B"00010001", B"11100010",
1735
 B"11011001", B"11011100", B"11110110", B"00100000", B"11100001",
1736
 B"00001110", B"00111111", B"11110110", B"11000000", B"00001100",
1737
 B"11100110", B"11110001", B"11011000", B"11101111", B"00101101",
1738
 B"11100010", B"11111011", B"00100011", B"11100001", B"11011001",
1739
 B"11010001", B"11110011", B"00100101", B"00111001", B"11100101",
1740
 B"11100001", B"00011100", B"00110001", B"11111000", B"11101101",
1741
 B"11001100", B"00001111", B"11000111", B"00101100", B"11110001",
1742
 B"11100011", B"00011000", B"00001001", B"00100111", B"00010011",
1743
 B"00010111", B"00001011", B"00011101", B"00100010", B"00011100",
1744
 B"00010001", B"11011011", B"00101010", B"00100011", B"11111001",
1745
 B"11001001", B"00011000", B"11010011", B"00101111", B"00001100",
1746
 B"11100001", B"11110001", B"00000000", B"11101110", B"00101001",
1747
 B"11011011", B"00101010", B"00001010", B"11110000", B"11011101",
1748
 B"11101000", B"11011111", B"00100101", B"11101000", B"00100110",
1749
 B"11010011", B"11011010", B"11101000", B"11101001", B"00100100",
1750
 B"11000000", B"10110110", B"00011100", B"11110110", B"00010100",
1751
 B"00101011", B"10111100", B"11111000", B"11100011", B"11001110",
1752
 B"11101111", B"00001101", B"00010000", B"00100011", B"11110000",
1753
 B"00011011", B"11101110", B"00100011", B"11010100", B"11011101",
1754
 B"00110111", B"11100010", B"11110000", B"11011000", B"00010011",
1755
 B"00110110", B"10110110", B"11011010", B"11000110", B"00111011",
1756
 B"00011000", B"00100110", B"00010111", B"11001111", B"11011100",
1757
 B"11101011", B"11000110", B"00100011", B"11000101", B"00101110",
1758
 B"11010001", B"11111101", B"00011000", B"00010001", B"11010110",
1759
 B"11011100", B"00101100", B"11111010", B"11010111", B"10111101",
1760
 B"11100110", B"11101011", B"11100110", B"00011011", B"11011010",
1761
 B"00111010", B"11001001", B"11011001", B"00100001", B"00100101",
1762
 B"00001111", B"11001111", B"00011111", B"00100010", B"11101000",
1763
 B"11100111", B"00011100", B"11010011", B"00011010", B"11011111",
1764
 B"00100010", B"11100010", B"11010101", B"00010011", B"00001000",
1765
 B"00010111", B"00111000", B"00100100", B"11110000", B"00100011",
1766
 B"11101001", B"00010010", B"11011010", B"00011010", B"00000011",
1767
 B"00001010", B"11100011", B"00101011", B"11100110", B"00010001",
1768
 B"11100101", B"00000010", B"11011000", B"11101111", B"11011111",
1769
 B"11100100", B"00011001", B"11011101", B"00100110", B"00001011",
1770
 B"00111001", B"00111101", B"11100100", B"00011011", B"11101111",
1771
 B"00011010", B"11110011", B"11001011", B"00010000", B"11100110",
1772
 B"11010001", B"11100110", B"00000000", B"00010110", B"11011010",
1773
 B"11001011", B"11101000", B"00100010", B"00111110", B"00100111",
1774
 B"00011100", B"11011101", B"11100010", B"11011000", B"11101001",
1775
 B"00011110", B"11010010", B"00100101", B"11111011", B"11011111",
1776
 B"00111000", B"00011111", B"00011001", B"11010111", B"00011101",
1777
 B"00011110", B"11010011", B"11011011", B"00111101", B"00110100",
1778
 B"00010111", B"00000101", B"11100011", B"00101111", B"11011010",
1779
 B"00100011", B"11011110", B"00010011", B"11100110", B"11010011",
1780
 B"00001010", B"11011110", B"11101110", B"11011101", B"11101000",
1781
 B"11101011", B"11101010", B"00110000", B"11111100", B"00100011",
1782
 B"11101001", B"11101111", B"00010000", B"11100101", B"11110011",
1783
 B"11010110", B"11111100", B"00100001", B"00011010", B"00010001",
1784
 B"00101001", B"00100110", B"00000110", B"00010110", B"11110100",
1785
 B"00011001", B"11010001", B"00010011", B"11110100", B"00100001",
1786
 B"00101010", B"11001011", B"11010010", B"00100001", B"00100101",
1787
 B"11001110", B"11101000", B"00000110", B"00011001", B"00111010",
1788
 B"11110111", B"00110001", B"11101110", B"00100000", B"11010101",
1789
 B"11110110", B"00101101", B"11111000", B"00101011", B"11011011",
1790
 B"11010101", B"00100001", B"00100011", B"01001001", B"11101000",
1791
 B"00100000", B"00001101", B"11010100", B"00011001", B"00101011",
1792
 B"11111100", B"00011000", B"00101111", B"00101111", B"11010111",
1793
 B"00000011", B"11111100", B"00100000", B"11110111", B"11110010",
1794
 B"11010000", B"11011011", B"11100111", B"11110110", B"00001010",
1795
 B"00010010", B"00101101", B"00111000", B"00001101", B"11101010",
1796
 B"11101101", B"00011000", B"00100001", B"11101110", B"11001010",
1797
 B"11010101", B"00100111", B"11010111", B"00101100", B"11001111",
1798
 B"11101110", B"00011111", B"00100111", B"11010011", B"00100110",
1799
 B"11100000", B"11101011", B"11100100", B"00010011", B"00110000",
1800
 B"11011011", B"11001111", B"00110111", B"00001101", B"00010110",
1801
 B"11100101", B"00110010", B"11110011", B"00011001", B"10111010",
1802
 B"11100100", B"00100000", B"11100011", B"00011101", B"11010100",
1803
 B"11100011", B"00110000", B"00011001", B"00000011", B"11110000",
1804
 B"11111101", B"00110011", B"00100110", B"11100111", B"11100100",
1805
 B"00111101", B"00010101", B"11011111", B"00101011", B"00011001",
1806
 B"11100010", B"11010110", B"00101101", B"00011111", B"00100000",
1807
 B"00101111", B"11100010", B"00100000", B"11001011", B"00010010",
1808
 B"11100111", B"10111100", B"11010110", B"11010101", B"00010100",
1809
 B"00011100", B"11010001", B"00110100", B"11011011", B"00100011",
1810
 B"11010100", B"00011111", B"11011110", B"11010101", B"11111001",
1811
 B"00011111", B"00100101", B"11100110", B"11000010", B"11110010",
1812
 B"11010010", B"00011101", B"00011100", B"00011010", B"00110001",
1813
 B"00000110", B"11100110", B"00011010", B"11111101", B"11011100",
1814
 B"00000000", B"00101000", B"00100011", B"11100101", B"00010101",
1815
 B"00100011", B"00101110", B"11011100", B"00110101", B"11100110",
1816
 B"00100111", B"11011000", B"00100101", B"00101100", B"11101110",
1817
 B"00000110", B"11101011", B"11010100", B"11011010", B"00010001",
1818
 B"11100010", B"00100011", B"11100110", B"11100100", B"11011000",
1819
 B"00100110", B"00001010", B"11101010", B"00011001", B"11100001",
1820
 B"11011000", B"11001101", B"00010000", B"00110000", B"11101011",
1821
 B"00110010", B"11001001", B"11011011", B"11100001", B"11101010",
1822
 B"00100111", B"11010000", B"00100010", B"11010101", B"11110001",
1823
 B"11011110", B"11011101", B"00011001", B"00111110", B"00010000",
1824
 B"00101100", B"00001111", B"00110100", B"00010000", B"11101011",
1825
 B"00100100", B"11011011", B"00000110", B"11010011", B"01001011",
1826
 B"11101011", B"00100000", B"00001111", B"11101010", B"00101100",
1827
 B"00100010", B"11111011", B"11011111", B"11100110", B"11100001",
1828
 B"11000001", B"00110111", B"00011111", B"00101001", B"00101110",
1829
 B"11101100", B"00110100", B"00100110", B"00010000", B"11101011",
1830
 B"00001001", B"11011101", B"00011010", B"11110000", B"00011101",
1831
 B"00011100", B"00000100", B"11100101", B"00011010", B"11101011",
1832
 B"00010010", B"00010011", B"11011111", B"11010100", B"11001001",
1833
 B"11011010", B"00100011", B"11010100", B"00101010", B"00111011",
1834
 B"11001111", B"00000011", B"11010110", B"11001110", B"11010100",
1835
 B"00010010", B"00101001", B"00101110", B"00000001", B"11101111",
1836
 B"11011101", B"00101101", B"00001111", B"11110101", B"11101001",
1837
 B"01000011", B"00010010", B"00101010", B"10110100", B"00011100",
1838
 B"11011110", B"00100110", B"11001111", B"00001111", B"00001001",
1839
 B"00011011", B"01001100", B"00101000", B"00011010", B"01001111",
1840
 B"00010101", B"00001010", B"11100111", B"00110010", B"11000111",
1841
 B"11101111", B"11010011", B"11111011", B"00011010", B"11101101",
1842
 B"11011111", B"00101010", B"11001100", B"00011010", B"11100100",
1843
 B"11011000", B"00011111", B"11100101", B"11011111", B"11011011",
1844
 B"00001101", B"00001000", B"11111000", B"00110011", B"11001011",
1845
 B"00110101", B"00011100", B"00101010", B"11100101", B"00001001",
1846
 B"11100011", B"00000010", B"11001111", B"00011010", B"11011000",
1847
 B"11101111", B"00010101", B"11100011", B"11110101", B"11010001",
1848
 B"11010010", B"11010011", B"00001010", B"11010000", B"11000010",
1849
 B"11000101", B"00001111", B"00001001", B"11110010", B"00011111",
1850
 B"11011001", B"11100000", B"00110100", B"11100000", B"11100111",
1851
 B"11010010", B"11010000", B"00101011", B"11101101", B"00001100",
1852
 B"00011110", B"00100001", B"00110000", B"00100101", B"00011111",
1853
 B"11011010", B"11100011", B"00000110", B"00110110", B"00100011",
1854
 B"00011001", B"11110100", B"00000101", B"00001111", B"00011101",
1855
 B"00010111", B"11000101", B"00101000", B"11000100", B"00100111",
1856
 B"11001010", B"11110000", B"11011001", B"00010100", B"00011101",
1857
 B"00000110", B"00110010", B"11011100", B"11010111", B"11001111",
1858
 B"00000000", B"00010101", B"11110000", B"00110110", B"11100001",
1859
 B"11000010", B"00000110", B"11100100", B"11100000", B"11100000",
1860
 B"11110111", B"00111001", B"00010001", B"00011010", B"00110111",
1861
 B"00101011", B"11101010", B"11100001", B"11001100", B"11011010",
1862
 B"00100010", B"11011010", B"00110111", B"00100111", B"00101001",
1863
 B"00010101", B"11011000", B"00010111", B"11011110", B"00011111",
1864
 B"11100010", B"00101011", B"11100101", B"00100001", B"00011000",
1865
 B"11011011", B"00100110", B"00000010", B"11011000", B"00110001",
1866
 B"11101101", B"00111111", B"00110001", B"11100011", B"00111111",
1867
 B"00100011", B"11001001", B"11101011", B"11011100", B"00100110",
1868
 B"00000111", B"00010010", B"00010000", B"11101111", B"11100111",
1869
 B"11101010", B"11101001", B"00011000", B"00100101", B"00100000",
1870
 B"00100001", B"11010001", B"11011111", B"11111000", B"11100000",
1871
 B"01000010", B"11011000", B"00100110", B"11100100", B"11100000",
1872
 B"00010110", B"11110010", B"00110000", B"11000111", B"00100101",
1873
 B"11101101", B"11111100", B"00100011", B"00101010", B"11100010",
1874
 B"00101010", B"11110100", B"11010101", B"11101011", B"00110100",
1875
 B"00011111", B"11101111", B"11101111", B"00010010", B"11110101",
1876
 B"00011110", B"11111010", B"11001101", B"00110001", B"00000100",
1877
 B"11010111", B"00010000", B"11011000", B"11011100", B"11101100",
1878
 B"00010111", B"01001000", B"11110111", B"11000101", B"11000010",
1879
 B"00101000", B"11100011", B"00000110", B"11010111", B"11110000",
1880
 B"00011111", B"11110100", B"11110001", B"11111110", B"00010101",
1881
 B"11110001", B"00011011", B"00010100", B"11111110", B"00000111",
1882
 B"11100111", B"00001010", B"00110100", B"11101101", B"00101011",
1883
 B"00101101", B"11101010", B"00010000", B"00110010", B"11110001",
1884
 B"11000111", B"00001010", B"00001000", B"11110111", B"11100001",
1885
 B"11010101", B"10111101", B"11111100", B"11101000", B"00011000",
1886
 B"00101100", B"00100110", B"00001110", B"11011101", B"00011110",
1887
 B"11100001", B"00001110", B"11010111", B"11000000", B"00101001",
1888
 B"00100010", B"00100101", B"11100000", B"11110001", B"00100110",
1889
 B"11101010", B"11100000", B"11100101", B"11101100", B"11111000",
1890
 B"11111011", B"00010110", B"11101000", B"11011010", B"11100101",
1891
 B"11010010", B"11011011", B"00000000", B"10011101", B"11010100",
1892
 B"11011011", B"00011000", B"00110101", B"00110001", B"00011101",
1893
 B"00110011", B"11011010", B"11101010", B"00011101", B"11011001",
1894
 B"11001100", B"11101100", B"11011001", B"00010100", B"11010001",
1895
 B"11101010", B"00001010", B"11010100", B"11100101", B"11101000",
1896
 B"11011100", B"00011101", B"00010111", B"00110101", B"00100010",
1897
 B"00001111", B"00011000", B"00100110", B"00001100", B"11111011",
1898
 B"00010110", B"11100010", B"00101101", B"11111101", B"11010000",
1899
 B"00010111", B"00011110", B"00110001", B"11010011", B"11011111",
1900
 B"11011010", B"11100011", B"00100111", B"11011100", B"00101011",
1901
 B"00000110", B"10110110", B"11110000", B"10111100", B"11111000",
1902
 B"00011011", B"11011000", B"00101010", B"00010110", B"00100001",
1903
 B"11001101", B"11010010", B"00011010", B"00011111", B"11101011",
1904
 B"11110001", B"00010110", B"11011001", B"00011000", B"10111110",
1905
 B"11101011", B"11011001", B"00100110", B"00010101", B"11101000",
1906
 B"00100111", B"00011110", B"11011010", B"11100110", B"11001000",
1907
 B"11001111", B"11110001", B"00111001", B"00100110", B"00100010",
1908
 B"00100111", B"11110100", B"01001110", B"00011111", B"00011111",
1909
 B"00100000", B"11100000", B"11100101", B"11001101", B"11000110",
1910
 B"00011110", B"11000100", B"00101101", B"00101001", B"11000110",
1911
 B"11001000", B"00111111", B"11111010", B"11100011", B"11111011",
1912
 B"11011111", B"11101111", B"11101001", B"00100000", B"00001011",
1913
 B"00100001", B"00100110", B"11101010", B"11111111", B"00100001",
1914
 B"00110000", B"01000001", B"11110101", B"00110010", B"11011010",
1915
 B"00001110", B"11001110", B"11100100", B"11001011", B"00101100",
1916
 B"00011110", B"00011101", B"00101100", B"11101010", B"10111010",
1917
 B"00000001", B"00010011", B"11111010", B"11111101", B"11100010",
1918
 B"10111001", B"01000000", B"00110111", B"00110100", B"11111010",
1919
 B"00100110", B"11101001", B"11100010", B"11010111", B"00010011",
1920
 B"00110001", B"00100011", B"00110011", B"00100001", B"00010000",
1921
 B"00011001", B"00100011", B"00010111", B"00100010", B"00010101",
1922
 B"00111111", B"00000010", B"00111101", B"00010011", B"11100011",
1923
 B"11100001", B"00010110", B"11011010", B"11001101", B"11010101",
1924
 B"11010011", B"00001111", B"00111011", B"00100110", B"00111010",
1925
 B"01000011", B"00011010", B"11100000", B"11110101", B"00011100",
1926
 B"00011000", B"11100010", B"11101101", B"11011110", B"00100111",
1927
 B"00010011", B"11011001", B"11100001", B"11101011", B"11001000",
1928
 B"11010011", B"11011101", B"00111011", B"01000110", B"00100110",
1929
 B"10111100", B"00100011", B"11011001", B"00101111", B"00101111",
1930
 B"11000000", B"00001101", B"11101001", B"11011000", B"11101010",
1931
 B"00100010", B"00100101", B"00010101", B"11110010", B"11101100",
1932
 B"00000000", B"11010100", B"11111100", B"11001010", B"11010011",
1933
 B"11100101", B"00010001", B"00111000", B"11010011", B"11010110",
1934
 B"11101110", B"11010001", B"11101001", B"11010011", B"11110110",
1935
 B"11011000", B"00010101", B"00001110", B"11100111", B"00110010",
1936
 B"11010110", B"00100000", B"11110111", B"11101111", B"11100111",
1937
 B"11100000", B"00011000", B"11100101", B"01001001", B"11101110",
1938
 B"00010110", B"11011000", B"11010010", B"00001100", B"00001101",
1939
 B"00100000", B"11011100", B"11011000", B"00110011", B"00001111",
1940
 B"00011101", B"11011110", B"00110100", B"11010010", B"00010110",
1941
 B"00010001", B"00000001", B"00001011", B"11011101", B"00001001",
1942
 B"11010000", B"00011011", B"11101111", B"11000110", B"00011111",
1943
 B"00101100", B"11100011", B"11101010", B"11010110", B"11000010",
1944
 B"11101011", B"11110010", B"11100011", B"11011110", B"00110100",
1945
 B"00100111", B"11110000", B"00101111", B"11110001", B"11100001",
1946
 B"00100010", B"11011101", B"11100100", B"11011011", B"00010101",
1947
 B"00100010", B"00000010", B"00101110", B"11001101", B"00101011",
1948
 B"11011111", B"11110001", B"11011111", B"00111001", B"00101011",
1949
 B"00101101", B"00111010", B"00101010", B"11011101", B"00100111",
1950
 B"11100010", B"00011010", B"11010111", B"00000000", B"11011001",
1951
 B"11100011", B"11111101", B"00110011", B"00100001", B"00001100",
1952
 B"00110010", B"11010010", B"11011111", B"11100101", B"11010010",
1953
 B"00101111", B"00100100", B"00100110", B"00101111", B"00011011",
1954
 B"00110100", B"11011100", B"00011110", B"00001111", B"11001111",
1955
 B"11111001", B"00110001", B"11011011", B"11011111", B"00100000",
1956
 B"11000110", B"00100010", B"11010101", B"11111010", B"00001010",
1957
 B"00101110", B"11111011", B"11001001", B"00011000", B"00011100",
1958
 B"11111010", B"11010101", B"00101011", B"00010011", B"00001010",
1959
 B"11111000", B"00011001", B"01001001", B"11101100", B"11101111",
1960
 B"00011010", B"11111001", B"00101110", B"00010110", B"11011001",
1961
 B"00011001", B"11110001", B"00110100", B"11110100", B"00000100",
1962
 B"11011011", B"00101010", B"11110111", B"11011000", B"00010000",
1963
 B"00010101", B"11101010", B"00011011", B"11011001", B"00100000",
1964
 B"00001010", B"11010010", B"00111101", B"00100111", B"11101010",
1965
 B"11010110", B"11101000", B"00100011", B"11101100", B"00101101",
1966
 B"11100000", B"11011000", B"00110000", B"11101000", B"00010010",
1967
 B"00100100", B"11011100", B"11010101", B"11000110", B"11111001",
1968
 B"11100111", B"11010111", B"00110000", B"01000010", B"11000101",
1969
 B"11100001", B"11001101", B"11100011", B"11110001", B"11110001",
1970
 B"00001000", B"00010011", B"00100110", B"00111101", B"00011111",
1971
 B"11011001", B"11010011", B"11110010", B"00100010", B"00101100",
1972
 B"00010010", B"11100010", B"00010100", B"11011110", B"00011101",
1973
 B"11100011", B"00010000", B"00100100", B"11001010", B"10111100",
1974
 B"11000111", B"00000010", B"11010011", B"00100001", B"00000001",
1975
 B"11100101", B"00101011", B"00100010", B"11000111", B"11011101",
1976
 B"00011011", B"00011111", B"11011100", B"11110000", B"11110000",
1977
 B"10111100", B"00100010", B"11101110", B"00110101", B"11100111",
1978
 B"00101111", B"11010001", B"00001100", B"10111111", B"11001110",
1979
 B"00011101", B"00101110", B"00011101", B"00010001", B"11011010",
1980
 B"11100011", B"00100110", B"00101010", B"11110010", B"11001010",
1981
 B"00011110", B"00011011", B"11000000", B"00001111", B"00011110",
1982
 B"11011110", B"11111111", B"00011110", B"11101000", B"00010001",
1983
 B"11111010", B"11100011", B"11010101", B"00100101", B"00100100",
1984
 B"11100100", B"00110100", B"00010010", B"11011010", B"11001001",
1985
 B"00011010", B"00011001", B"11101101", B"11111100", B"11111110",
1986
 B"11100111", B"11001100", B"00000110", B"11010111", B"11010101",
1987
 B"11101101", B"11110000", B"11100011", B"00001001", B"11100001",
1988
 B"00010100", B"11100101", B"11010010", B"00101000", B"00011011",
1989
 B"00100000", B"11010001", B"11101100", B"11100100", B"11110011",
1990
 B"00101001", B"11010000", B"00101001", B"00010100", B"00011110",
1991
 B"11011110", B"00000010", B"00011100", B"10111100", B"11100101",
1992
 B"00101011", B"00100101", B"11110010", B"11101111", B"11010001",
1993
 B"10111010", B"00010110", B"11100110", B"00010000", B"00010000",
1994
 B"00101000", B"11011000", B"11011000", B"01000001", B"00100000",
1995
 B"11010111", B"11100001", B"00100101", B"00111010", B"11101110",
1996
 B"11011100", B"00100001", B"00000011", B"11100001", B"11101010",
1997
 B"00000111", B"11100001", B"01000101", B"01000101", B"11100111",
1998
 B"00011001", B"00011110", B"11101101", B"11001010", B"11010101",
1999
 B"11001101", B"00101000", B"00100000", B"11101010", B"00111100",
2000
 B"11000101", B"11110100", B"11001101", B"00000001", B"00100000",
2001
 B"01000000", B"11111100", B"00001111", B"11100101", B"11101011",
2002
 B"11110100", B"00101101", B"00001101", B"00100110", B"00011100",
2003
 B"11111011", B"11010100", B"00100100", B"00110001", B"00101101",
2004
 B"11010101", B"00101001", B"11101011", B"00110000", B"11101010",
2005
 B"00010101", B"00001111", B"11100100", B"11100001", B"00111000",
2006
 B"00100101", B"11010001", B"11101001", B"00010100", B"00100100",
2007
 B"00010010", B"00001010", B"00100100", B"00101010", B"00001110",
2008
 B"00100111", B"11001101", B"00101001", B"11001110", B"00010100",
2009
 B"11110110", B"11010011", B"00111110", B"00010011", B"10110011",
2010
 B"11100111", B"11011101", B"00101000", B"00011001", B"11010111",
2011
 B"00011001", B"11011001", B"00100000", B"11111111", B"11100101",
2012
 B"00100010", B"00010010", B"11000000", B"00010000", B"00011111",
2013
 B"11011111", B"00100101", B"00110111", B"11100111", B"11011010",
2014
 B"11000011", B"11110100", B"11100101", B"11001110", B"00100001",
2015
 B"00011111", B"00101000", B"11110010", B"00100000", B"11001101",
2016
 B"00000111", B"11010111", B"11101101", B"00101000", B"00100001",
2017
 B"00011011", B"00110101", B"11100001", B"11111111", B"11001100",
2018
 B"00001011", B"00101100", B"00101000", B"11011111", B"00011110",
2019
 B"11000110", B"00010100", B"00101001", B"00100011", B"11100101",
2020
 B"00101001", B"00011111", B"11111000", B"11011101", B"00001001",
2021
 B"00100010", B"00101100", B"00011011", B"11010100", B"00011110",
2022
 B"11100111", B"00011110", B"11011101", B"00000000", B"11010011",
2023
 B"11000011", B"00100001", B"11000100", B"11101110", B"11101001",
2024
 B"11011000", B"00101000", B"11010101", B"00101000", B"00011101",
2025
 B"11011100", B"01000000", B"00001101", B"11011110", B"11010101",
2026
 B"00100000", B"11101111", B"11011000", B"11010100", B"00110100",
2027
 B"00010111", B"11101100", B"11110110", B"00100011", B"00100100",
2028
 B"00101011", B"11001101", B"00100001", B"11101011", B"00010011",
2029
 B"11001111", B"11101000", B"11000100", B"11100001", B"00101010",
2030
 B"00101011", B"00010110", B"00011110", B"11010100", B"00100000",
2031
 B"00100110", B"11111000", B"11011110", B"11100011", B"11110000",
2032
 B"11110001", B"00010101", B"00100100", B"00110111", B"11110111",
2033
 B"00011011", B"11001111", B"00100001", B"11110001", B"11001101",
2034
 B"11110010", B"00001001", B"11000001", B"00100111", B"11010111",
2035
 B"11010011", B"00011110", B"00101111", B"00100111", B"00010000",
2036
 B"11101100", B"00111110", B"11100111", B"00010101", B"00000100",
2037
 B"00010000", B"00111001", B"00110100", B"00101000", B"01000011",
2038
 B"00110101", B"00100011", B"00011110", B"00100011", B"11101110",
2039
 B"00010110", B"11100011", B"11101000", B"11100100", B"00001000",
2040
 B"00001011", B"00011001", B"11010101", B"11100000", B"11100100",
2041
 B"11011011", B"00011100", B"11100100", B"00010001", B"11100111",
2042
 B"00010111", B"00101011", B"00000111", B"11101110", B"11010010",
2043
 B"11001101", B"11100111", B"11010101", B"00110001", B"11110000",
2044
 B"11100111", B"11100111", B"00110011", B"00111111", B"11001111",
2045
 B"11000101", B"00001111", B"00011011", B"00101101", B"11101110",
2046
 B"00011110", B"11010001", B"00110010", B"00011011", B"11101000",
2047
 B"11010101", B"11011100", B"11100111", B"00110000", B"11010010",
2048
 B"00001111", B"11100110", B"00010010", B"00011010", B"11010100",
2049
 B"11010111", B"11001010", B"11001011", B"11011000", B"11011111",
2050
 B"00101000", B"00010110", B"00101010", B"11101000", B"00011110",
2051
 B"11001100", B"00100000", B"00011100", B"00001001", B"11101011",
2052
 B"11100000", B"00010111", B"00100011", B"11010100", B"11011100",
2053
 B"11010101", B"11100100", B"00001010", B"00011000", B"00011111",
2054
 B"00010011", B"11011001", B"11101101", B"11010001", B"00110011",
2055
 B"00010111", B"00100001", B"11001000", B"00011101", B"11111000",
2056
 B"00101110", B"11100110", B"11010000", B"11100011", B"11001101",
2057
 B"00011101", B"00101101", B"00101010", B"01001011", B"11110001",
2058
 B"00001111", B"11100101", B"00110100", B"11010011", B"11011000",
2059
 B"00000111", B"00100000", B"00100000", B"11100000", B"11101101",
2060
 B"11111010", B"11111010", B"00101010", B"11101000", B"00100011",
2061
 B"00111010", B"00111100", B"00001101", B"11110101", B"00011000",
2062
 B"11011111", B"00001110", B"11011011", B"00010001", B"11101011",
2063
 B"00010000", B"11101011", B"11110000", B"11101000", B"00000101",
2064
 B"00110001", B"11101101", B"00100100", B"00010011", B"11010000",
2065
 B"11100000", B"11100010", B"11011100", B"11100000", B"11111010",
2066
 B"11001001", B"11100010", B"11011010", B"00101000", B"00000101",
2067
 B"00101110", B"00011010", B"11010001", B"11111100", B"00100110",
2068
 B"11011010", B"00101001", B"11100111", B"11100100", B"00100001",
2069
 B"11101000", B"11111011", B"11100000", B"00110101", B"11011001",
2070
 B"11010100", B"00101001", B"00110101", B"00011010", B"11011001",
2071
 B"00001010", B"00100010", B"00000100", B"00100100", B"00011100",
2072
 B"11100010", B"00011111", B"00100100", B"11010110", B"00000101",
2073
 B"00010111", B"11001110", B"11110001", B"00001001", B"00010011",
2074
 B"11001110", B"00011100", B"11000111", B"11101100", B"11010100",
2075
 B"00100111", B"00011111", B"11010110", B"00101001", B"11101010",
2076
 B"00010001", B"11011101", B"11111001", B"00010010", B"00100001",
2077
 B"11111010", B"00100011", B"11100111", B"11100000", B"00000010",
2078
 B"00010110", B"01000111", B"11110010", B"00110111", B"11100000",
2079
 B"00011010", B"00100111", B"11111100", B"00001001", B"00110011",
2080
 B"11011111", B"11010100", B"11101111", B"00010110", B"00101101",
2081
 B"11010011", B"00101000", B"11011001", B"00111000", B"00010010",
2082
 B"11110011", B"11110001", B"00100101", B"11011111", B"11010001",
2083
 B"11100001", B"11011011", B"11001011", B"00001101", B"00101001",
2084
 B"11100100", B"11100001", B"11000101", B"11100010", B"11001101",
2085
 B"11100100", B"10111110", B"00001110", B"00010001", B"00011001",
2086
 B"00011011", B"11011001", B"11100000", B"11111000", B"00011110",
2087
 B"00101010", B"00010010", B"10111100", B"00101100", B"11100111",
2088
 B"00001101", B"10111111", B"11001010", B"00100000", B"11111011",
2089
 B"11111000", B"00100100", B"11010111", B"11011010", B"00011110",
2090
 B"00111111", B"11010101", B"11010110", B"00100101", B"00110111",
2091
 B"11101100", B"10111011", B"11100011", B"00110100", B"00011010",
2092
 B"11010001", B"11100011", B"11110010", B"11000111", B"11000011",
2093
 B"01000011", B"00100111", B"11010011", B"11011101", B"00011100",
2094
 B"00011000", B"11110111", B"11101010", B"11111010", B"11011001",
2095
 B"00101100", B"11011100", B"00101101", B"11100100", B"11100101",
2096
 B"00110000", B"11011111", B"11010001", B"00010001", B"00010110",
2097
 B"00100010", B"00111001", B"11011100", B"11011000", B"00010101",
2098
 B"00011101", B"00101110", B"00100101", B"00011000", B"00010111",
2099
 B"00011000", B"00011110", B"10111001", B"00100011", B"11001001",
2100
 B"00111111", B"11000110", B"11110111", B"00011001", B"00000110",
2101
 B"11011011", B"00100010", B"00111000", B"00100101", B"11010111",
2102
 B"00110000", B"11010001", B"00100001", B"11100000", B"00011110",
2103
 B"00110010", B"11010111", B"11011101", B"11010101", B"11100001",
2104
 B"11101001", B"00000010", B"11001011", B"11001111", B"00000111",
2105
 B"11011110", B"00101001", B"11011110", B"00100001", B"11001101",
2106
 B"00101001", B"00100101", B"11100001", B"11011011", B"11110001",
2107
 B"10111101", B"11001011", B"11100010", B"11110101", B"00001110",
2108
 B"00011100", B"00110101", B"00111100", B"11101110", B"11101011",
2109
 B"00111000", B"00011010", B"00001011", B"00011110", B"00010010",
2110
 B"00011001", B"00001011", B"00001010", B"00110110", B"11011001",
2111
 B"00011100", B"11010111", B"11000100", B"11100011", B"00110000",
2112
 B"00101111", B"00000011", B"11100000", B"00110011", B"11010110",
2113
 B"11001101", B"11100110", B"00010010", B"00100110", B"00010001",
2114
 B"00001010", B"11001001", B"00011000", B"00011111", B"11001100",
2115
 B"11100001", B"00110011", B"11001110", B"00010101", B"11001110",
2116
 B"11001001", B"11011110", B"00000110", B"01001101", B"11101100",
2117
 B"00010010", B"11111001", B"00111000", B"11011000", B"11000000",
2118
 B"11001010", B"00011001", B"00010110", B"11011001", B"11110100",
2119
 B"00100001", B"00110100", B"00011010", B"00111001", B"11100100",
2120
 B"11101001", B"00011110", B"11101100", B"00010010", B"11100001",
2121
 B"11010001", B"11001000", B"00011011", B"00100111", B"11100010",
2122
 B"00101011", B"00010010", B"11111010", B"11010110", B"11110101",
2123
 B"11000111", B"11010010", B"11011101", B"00101110", B"11010000",
2124
 B"00100011", B"11010100", B"11000111", B"00011011", B"00100000",
2125
 B"11110010", B"00110001", B"00010111", B"00011001", B"11111010",
2126
 B"00100010", B"11011000", B"00100110", B"11001110", B"11010010",
2127
 B"00110100", B"00010101", B"00011101", B"00100110", B"11000010",
2128
 B"11000111", B"11010111", B"00001110", B"00100111", B"01001111",
2129
 B"11101001", B"00100000", B"11010110", B"00110010", B"11100011",
2130
 B"11100100", B"00100011", B"11101011", B"00100111", B"11010010",
2131
 B"11011000", B"00110001", B"11000100", B"11101110", B"00100011",
2132
 B"11100001", B"11111011", B"00000000", B"11110100", B"01000000",
2133
 B"00101101", B"00101010", B"00110111", B"11100001", B"00011111",
2134
 B"11100110", B"00011101", B"11011011", B"00100010", B"10101111",
2135
 B"11100101", B"00011000", B"11000011", B"11001010", B"11011111",
2136
 B"11011110", B"00110101", B"11110010", B"11010010", B"00100010",
2137
 B"11010010", B"11011001", B"11111101", B"11101100", B"00000010",
2138
 B"00001001", B"11110010", B"11010111", B"11011001", B"00101100",
2139
 B"00010110", B"11100010", B"11101110", B"00101111", B"11000100",
2140
 B"00101011", B"11101010", B"11101011", B"00100100", B"00001110",
2141
 B"00110010", B"00011111", B"11011101", B"00100100", B"00110101",
2142
 B"10101111", B"11011110", B"00001101", B"11011100", B"11100011",
2143
 B"00011111", B"00011110", B"00100100", B"01000010", B"11011001",
2144
 B"11001100", B"00101100", B"11001000", B"00000000", B"11110110",
2145
 B"11100011", B"00101001", B"11011111", B"00100011", B"00011001",
2146
 B"00000101", B"00000100", B"00011001", B"00010100", B"11010111",
2147
 B"11100000", B"00001010", B"00001001", B"11110000", B"11100010",
2148
 B"00011111", B"11101100", B"11100000", B"11001110", B"11011101",
2149
 B"00011101", B"00011010", B"00000100", B"11010111", B"00100001",
2150
 B"11100010", B"00011111", B"11010001", B"00111110", B"00100010",
2151
 B"11101010", B"00101000", B"00011001", B"11011001", B"11101101",
2152
 B"00011111", B"11101100", B"11011001", B"11100010", B"11001001",
2153
 B"00001011", B"00110000", B"00010111", B"00100101", B"00101101",
2154
 B"11011101", B"11100110", B"00110110", B"11100110", B"11001111",
2155
 B"11010001", B"10111011", B"00011110", B"11011010", B"00011111",
2156
 B"11010011", B"11101001", B"11010010", B"00100010", B"00100010",
2157
 B"11101010", B"11000011", B"11100101", B"11011010", B"00100111",
2158
 B"00100100", B"00010100", B"00010111", B"00101111", B"00101001",
2159
 B"11001110", B"11111001", B"00101000", B"00010101", B"11011110",
2160
 B"11110000", B"11100011", B"11100001", B"11100101", B"11111110",
2161
 B"00000001", B"11100110", B"00011101", B"11010001", B"00110101",
2162
 B"00110110", B"00110100", B"00011011", B"00000111", B"00011011",
2163
 B"00010011", B"00001000", B"10111001", B"00111000", B"11110010",
2164
 B"00011001", B"00000000", B"11100001", B"00010000", B"00000100",
2165
 B"00010100", B"11101110", B"11101000", B"00010101", B"11000001",
2166
 B"11011011", B"11010110", B"11000110", B"11001110", B"11011010",
2167
 B"00101110", B"11111011", B"00101110", B"11001100", B"11111111",
2168
 B"00000110", B"00010001", B"00011111", B"11010110", B"00001010",
2169
 B"00101101", B"00001111", B"11100001", B"11001100", B"11110100",
2170
 B"00101000", B"11101111", B"00110110", B"11010101", B"11100010",
2171
 B"00011100", B"00101000", B"00000010", B"11011001", B"11110000",
2172
 B"11010100", B"11101100", B"00100101", B"11101100", B"00011100",
2173
 B"00011000", B"00011111", B"00110110", B"00110011", B"00010101",
2174
 B"00000111", B"00010010", B"00100100", B"00100100", B"11100001",
2175
 B"11110010", B"00110101", B"11001000", B"11011011", B"11100001",
2176
 B"11101111", B"00101111", B"00010100", B"11011011", B"00100000",
2177
 B"00010110", B"11110101", B"11101110", B"00001110", B"00110100",
2178
 B"00100110", B"00100000", B"11100011", B"00001011", B"11100101",
2179
 B"00011110", B"11011110", B"00010101", B"11011100", B"00110111",
2180
 B"00100100", B"11011110", B"00100101", B"00100110", B"11001111",
2181
 B"11011011", B"00001000", B"11100100", B"11100100", B"11011100",
2182
 B"00100001", B"00100110", B"11010101", B"00100011", B"00010110",
2183
 B"11110001", B"11111010", B"00011100", B"00101100", B"00000101",
2184
 B"10111100", B"00010100", B"11001111", B"11001101", B"11110000",
2185
 B"11100101", B"00010110", B"11001100", B"00110010", B"00011101",
2186
 B"11110111", B"00100110", B"00101001", B"11100100", B"00011010",
2187
 B"00100010", B"11100001", B"11011110", B"00010100", B"10111000",
2188
 B"00011101", B"11011000", B"11001101", B"00111110", B"00100110",
2189
 B"00010110", B"11101010", B"11010000", B"11010101", B"11011101",
2190
 B"00011010", B"11100110", B"00000111", B"10111101", B"11010111",
2191
 B"11101000", B"00100101", B"00100011", B"11100100", B"00011111",
2192
 B"11100011", B"11110011", B"11011110", B"00011111", B"00110011",
2193
 B"00110111", B"00101101", B"11100001", B"11111011", B"11000101",
2194
 B"11010010", B"11001110", B"00101111", B"00100100", B"11110111",
2195
 B"00110010", B"11011100", B"11011111", B"00000001", B"00100111",
2196
 B"11100000", B"00010100", B"11100001", B"11110010", B"00101010",
2197
 B"00011101", B"00111011", B"11010100", B"11010111", B"00100011",
2198
 B"00011101", B"11000000", B"11011011", B"00101110", B"11001000",
2199
 B"11100000", B"11110110", B"11001100", B"00011110", B"11001010",
2200
 B"00011101", B"00101000", B"00100010", B"11011111", B"01000000",
2201
 B"00010001", B"11100011", B"11000101", B"00110101", B"01000111",
2202
 B"00100011", B"00011100", B"00100110", B"00010000", B"00010111",
2203
 B"00010101", B"01000110", B"10111011", B"11101001", B"11011110",
2204
 B"11000100", B"00010101", B"00000001", B"00011100", B"00000110",
2205
 B"00100011", B"00010110", B"11110011", B"00100101", B"00011111",
2206
 B"11100010", B"00000111", B"00001110", B"11110101", B"11001111",
2207
 B"00101101", B"00101001", B"00101000", B"00000100", B"11100010",
2208
 B"11011010", B"00011000", B"11010111", B"11101110", B"00101110",
2209
 B"11101101", B"11010100", B"11110101", B"11100011", B"01000111",
2210
 B"00000110", B"11110001", B"00011011", B"00000110", B"11110010",
2211
 B"11110110", B"11100111", B"00011000", B"00100101", B"00101001",
2212
 B"00101101", B"00011101", B"00011101", B"00001011", B"00001101",
2213
 B"00010110", B"00111000", B"00010011", B"00110011", B"00011011",
2214
 B"00011110", B"00101101", B"00001111", B"11000010", B"00111000",
2215
 B"11111111", B"00001110", B"11010001", B"11100011", B"00011100",
2216
 B"00110001", B"10111100", B"11011000", B"00010100", B"11101010",
2217
 B"00001001", B"11011000", B"11100100", B"00011011", B"00101101",
2218
 B"11010010", B"00111000", B"00110010", B"00000101", B"00100100",
2219
 B"00110100", B"11101010", B"00000001", B"11011010", B"11100101",
2220
 B"11111011", B"11111011", B"00000111", B"11100111", B"00011110",
2221
 B"00100001", B"00100101", B"00110010", B"11011100", B"00101110",
2222
 B"11010111", B"00000011", B"11110000", B"11110011", B"00010111",
2223
 B"11011110", B"00010111", B"11101001", B"11100110", B"00100110",
2224
 B"00011101", B"00100101", B"00011111", B"00101110", B"00010100",
2225
 B"00101101", B"00010110", B"00101011", B"00100110", B"00011011",
2226
 B"00100110", B"11100100", B"11100101", B"00101001", B"00010110",
2227
 B"11100111", B"11101111", B"11100000", B"00100010", B"10111101",
2228
 B"11110010", B"11101010", B"00000011", B"00010101", B"11001111",
2229
 B"11100100", B"00100000", B"11010011", B"00101011", B"11011111",
2230
 B"11100111", B"00111101", B"00011101", B"11010100", B"11010000",
2231
 B"00110111", B"00010100", B"00011010", B"00001100", B"11101111",
2232
 B"11001101", B"11011111", B"00001010", B"00101100", B"00011001",
2233
 B"11000111", B"00110000", B"11110110", B"00010111", B"00010000",
2234
 B"00000011", B"00100110", B"11001111", B"11011011", B"11101000",
2235
 B"00010001", B"00011111", B"11010010", B"11000100", B"11011011",
2236
 B"00110010", B"00100000", B"11011001", B"00010010", B"11000101",
2237
 B"11011101", B"11100011", B"00010100", B"11010000", B"00000000",
2238
 B"11011000", B"11011110", B"00010110", B"11100011", B"00100001",
2239
 B"00100010", B"11100001", B"11010101", B"11011001", B"11010001",
2240
 B"11100010", B"11011000", B"11101101", B"11101101", B"00011111",
2241
 B"00100101", B"11010101", B"00111100", B"11010100", B"11011011",
2242
 B"11011011", B"11101111", B"11011011", B"00100011", B"11111111",
2243
 B"00101110", B"00001111", B"11011100", B"11101110", B"11001110",
2244
 B"00100111", B"00100101", B"11010111", B"00110010", B"11000111",
2245
 B"00110101", B"11001000", B"11000110", B"11101110", B"11100100",
2246
 B"00100110", B"11000100", B"00101001", B"11010001", B"11100000",
2247
 B"00011101", B"11010100", B"00010111", B"11011111", B"11100010",
2248
 B"01000001", B"11100011", B"00110000", B"11110111", B"00100010",
2249
 B"11011100", B"11011010", B"00011110", B"00111001", B"11001001",
2250
 B"00011011", B"00011101", B"11101101", B"11100000", B"11101100",
2251
 B"11011110", B"11011000", B"11011011", B"00110111", B"11010111",
2252
 B"00010110", B"00000011", B"11011110", B"00010111", B"00010111",
2253
 B"11100110", B"11100111", B"11011110", B"11101011", B"00111010",
2254
 B"00101010", B"00001100", B"11110100", B"00101000", B"11011001",
2255
 B"11000001", B"11101110", B"11010010", B"00011101", B"11010000",
2256
 B"00100111", B"00101001", B"11010011", B"11101111", B"00010101",
2257
 B"11000110", B"11110101", B"11101010", B"11011011", B"11011101",
2258
 B"00100001", B"00000000", B"00001100", B"11100111", B"00011000",
2259
 B"11010000", B"00011111", B"11100111", B"00110010", B"11100110",
2260
 B"00101010", B"11011110", B"00001001", B"00101100", B"00011111",
2261
 B"00101111", B"11111111", B"00001111", B"11001000", B"11100111",
2262
 B"11010110", B"00101100", B"00110110", B"11101010", B"11010111",
2263
 B"11100111", B"00011100", B"00011001", B"11100110", B"00011111",
2264
 B"11010000", B"11010010", B"00000000", B"11010110", B"00101000",
2265
 B"11010100", B"11010111", B"00110111", B"00100000", B"00101010",
2266
 B"00100100", B"00010011", B"00110110", B"00101011", B"00010110",
2267
 B"00101010", B"00011110", B"00010101", B"11101010", B"11100100",
2268
 B"11100010", B"11110000", B"00110011", B"11011011", B"00101011",
2269
 B"00100110", B"11011011", B"00000110", B"00010101", B"11011000",
2270
 B"11011110", B"11100111", B"11101000", B"11010100", B"11011010",
2271
 B"11100001", B"11010001", B"00101011", B"00011111", B"00001100",
2272
 B"00011111", B"11011110", B"00101101", B"00011010", B"00000110",
2273
 B"11000100", B"01000000", B"11110011", B"00010110", B"11010001",
2274
 B"00101100", B"00001011", B"11101000", B"11101001", B"11101110",
2275
 B"11000101", B"11100000", B"00100001", B"11101001", B"11110110",
2276
 B"00100000", B"11010101", B"11011000", B"11010001", B"11001001",
2277
 B"00001101", B"11010011", B"00001100", B"11001101", B"11100110",
2278
 B"11101010", B"11111111", B"00100011", B"00100001", B"00011000",
2279
 B"11101101", B"00001101", B"00110110", B"11010001", B"11001100",
2280
 B"00011000", B"11011100", B"00110011", B"10110111", B"11111110",
2281
 B"11010000", B"00101101", B"00011011", B"11010111", B"11101101",
2282
 B"11010110", B"11000011", B"11111011", B"00011000", B"00100100",
2283
 B"00010011", B"00010110", B"00100000", B"00100100", B"00101110",
2284
 B"00010101", B"00011000", B"00111000", B"00101001", B"00001011",
2285
 B"11011001", B"10111011", B"00110100", B"00111110", B"11100110",
2286
 B"00101100", B"11110100", B"11100101", B"00101001", B"11101010",
2287
 B"00010010", B"11100010", B"11011001", B"11101111", B"00100111",
2288
 B"00010001", B"00101100", B"11001000", B"00011110", B"11011010",
2289
 B"11101111", B"11110011", B"00101001", B"00100000", B"11100011",
2290
 B"11110001", B"00100010", B"10111001", B"00010100", B"11100101",
2291
 B"11011001", B"11101110", B"00011100", B"11011000", B"11001011",
2292
 B"11111001", B"00000011", B"00001111", B"11110111", B"11111111",
2293
 B"00100010", B"11010101", B"11011000", B"11011110", B"11001111",
2294
 B"00101011", B"11010010", B"00011100", B"00010101", B"11100001",
2295
 B"00111100", B"11000010", B"11010011", B"11011111", B"00111001",
2296
 B"00101101", B"00001111", B"00011010", B"11111010", B"11010100",
2297
 B"00011100", B"00101000", B"11011010", B"11001010", B"00110011",
2298
 B"11011000", B"00100001", B"11101000", B"11001100", B"11101001",
2299
 B"00011000", B"00000000", B"00101010", B"00100010", B"11001001",
2300
 B"11101100", B"00001100", B"00110011", B"11111000", B"11100111",
2301
 B"00101110", B"00100000", B"11011101", B"11011001", B"00110000",
2302
 B"00010111", B"11001100", B"11011110", B"11111011", B"00011110",
2303
 B"00001111", B"00000000", B"11110110", B"00010001", B"11111110",
2304
 B"00001110", B"11011110", B"11010100", B"00100010", B"11110001",
2305
 B"11111110", B"11111100", B"11100010", B"00101100", B"11001011",
2306
 B"00011010", B"11101100", B"00000111", B"11100111", B"00110010",
2307
 B"00101101", B"11100101", B"11110110", B"00011101", B"00101111",
2308
 B"00100010", B"11101010", B"11111011", B"11100001", B"00011011",
2309
 B"00101010", B"11011110", B"11111010", B"11101011", B"11011100",
2310
 B"00011111", B"11010101", B"00110010", B"11111000", B"00101100",
2311
 B"11101010", B"00100001", B"00000010", B"11100010", B"00011110",
2312
 B"00011001", B"11011000", B"11101000", B"00001110", B"00010110",
2313
 B"00101110", B"00110000", B"11101000", B"11001100", B"11100111",
2314
 B"10111101", B"11100001", B"00100110", B"00011101", B"11100101",
2315
 B"01001010", B"11111100", B"00110000", B"11010111", B"00010011",
2316
 B"00011000", B"11101100", B"11111110", B"00100101", B"11000111",
2317
 B"00011101", B"00000100", B"11100110", B"11011111", B"00011100",
2318
 B"00110000", B"11110000", B"11101010", B"11010101", B"11011011",
2319
 B"11101100", B"00100100", B"00101100", B"11101110", B"00011000",
2320
 B"11011010", B"11010010", B"00011101", B"00101111", B"11000111",
2321
 B"11101001", B"11101001", B"00000000", B"11000111", B"11100010",
2322
 B"00011111", B"11110100", B"11011101", B"11101111", B"00110101",
2323
 B"00011111", B"11010100", B"00000110", B"11011101", B"00010100",
2324
 B"01000100", B"11100111", B"00111000", B"00100001", B"11110101",
2325
 B"11110001", B"00101100", B"11100010", B"00100101", B"11101000",
2326
 B"11011000", B"00001000", B"00001110", B"11101000", B"00001001",
2327
 B"11111000", B"00100111", B"11101101", B"11011111", B"00001110",
2328
 B"00110101", B"00011011", B"11010110", B"00010100", B"11111011",
2329
 B"11101110", B"11001110", B"00011111", B"00011111", B"11010010",
2330
 B"00101001", B"11111000", B"11100110", B"11011001", B"00100101",
2331
 B"00000010", B"11100011", B"00110011", B"11010011", B"00101100",
2332
 B"11010010", B"11011011", B"11100010", B"00100000", B"00100011",
2333
 B"11011111", B"00010001", B"11011011", B"11001111", B"11011101",
2334
 B"00100100", B"00101100", B"00001000", B"00101110", B"11011001",
2335
 B"00011011", B"00010010", B"11100010", B"00110011", B"00010001",
2336
 B"11011001", B"00010010", B"11110100", B"11100111", B"00100001",
2337
 B"11100010", B"11100000", B"11111011", B"11001000", B"00110000",
2338
 B"11001001", B"11101000", B"00001000", B"10100110", B"11110111",
2339
 B"11110100", B"11010110", B"11010011", B"00011101", B"00000011",
2340
 B"00100101", B"11011111", B"00100111", B"11010010", B"00010101",
2341
 B"00010001", B"00011011", B"11110101", B"00011111", B"11101111",
2342
 B"11110001", B"11010000", B"00010001", B"11001100", B"11001100",
2343
 B"11011010", B"11000000", B"00010101", B"00100100", B"00111000",
2344
 B"00001111", B"00010000", B"00100101", B"11011110", B"00010100",
2345
 B"00001101", B"11011011", B"11101011", B"11111001", B"11011001",
2346
 B"11010001", B"11100100", B"11010110", B"00100001", B"00100110",
2347
 B"00111010", B"00101100", B"11011001", B"00111100", B"11011101",
2348
 B"11110101", B"11001110", B"00101100", B"00100010", B"11001000",
2349
 B"11010001", B"00010111", B"00011111", B"00101010", B"11110011",
2350
 B"00101000", B"11010110", B"00001101", B"00100010", B"00100010",
2351
 B"00100011", B"00101010", B"00010101", B"00111000", B"00110001",
2352
 B"00001101", B"00100010", B"11100010", B"00010001", B"00100000",
2353
 B"11110000", B"00001100", B"00010010", B"11101101", B"00110000",
2354
 B"11101000", B"11100110", B"00010101", B"11101111", B"11110110",
2355
 B"11000011", B"11010011", B"00011110", B"00100111", B"00111100",
2356
 B"11011001", B"00011011", B"11010011", B"00001010", B"11010010",
2357
 B"00110101", B"00011011", B"11011011", B"00011101", B"00011010",
2358
 B"11110100", B"11110110", B"00100011", B"00110110", B"00000100",
2359
 B"00000101", B"11111100", B"11111010", B"11100100", B"00010110",
2360
 B"11101010", B"00101000", B"11101100", B"11010000", B"00001101",
2361
 B"11110000", B"11100111", B"11001000", B"11001110", B"00110011",
2362
 B"11010101", B"00101000", B"00111101", B"11100110", B"00000110",
2363
 B"00011001", B"11011101", B"11101101", B"11011101", B"11010101",
2364
 B"11000100", B"00100110", B"00011011", B"00101111", B"00100000",
2365
 B"00101000", B"11011100", B"11011011", B"00100011", B"11111101",
2366
 B"11100000", B"11100011", B"11010011", B"00011010", B"00010010",
2367
 B"00101110", B"11010100", B"00010001", B"11011100", B"00110111",
2368
 B"11011100", B"00011110", B"00101000", B"11011110", B"00100001",
2369
 B"00001110", B"11011001", B"11101001", B"00110110", B"11010010",
2370
 B"01000011", B"00011010", B"11110000", B"11000101", B"11100100",
2371
 B"11111000", B"11000101", B"00000101", B"00100010", B"11101001",
2372
 B"11000100", B"00111001", B"00101001", B"11101100", B"11010100",
2373
 B"00101100", B"00010111", B"11110111", B"11100011", B"00110011",
2374
 B"01000011", B"11001110", B"11011010", B"00011100", B"11100101",
2375
 B"00100010", B"00111011", B"11010100", B"01001011", B"00010110",
2376
 B"11010010", B"00011010", B"11010000", B"11001001", B"10110010",
2377
 B"00000000", B"00011111", B"11101001", B"00011010", B"00110100",
2378
 B"11010111", B"00100010", B"00110001", B"11001011", B"01000110",
2379
 B"01000101", B"11100101", B"11100001", B"11111010", B"11011111",
2380
 B"11110000", B"00011101", B"00011010", B"00111110", B"00100111",
2381
 B"00001011", B"11010111", B"00001110", B"00010100", B"11110110",
2382
 B"00101001", B"00010110", B"11011101", B"00110111", B"11110110",
2383
 B"00100011", B"11000100", B"11001010", B"11010000", B"11110100",
2384
 B"00011101", B"00110011", B"11011111", B"00101101", B"00101101",
2385
 B"11011001", B"00100001", B"00011110", B"00001000", B"00000110",
2386
 B"00111000", B"00010000", B"00100101", B"11101110", B"00110110",
2387
 B"11110001", B"00101010", B"00100010", B"00011001", B"11101111",
2388
 B"11001101", B"00001001", B"00010110", B"11100111", B"11010111",
2389
 B"11011000", B"00100001", B"00011000", B"00010000", B"11110100",
2390
 B"11111100", B"11001110", B"00001110", B"00101100", B"00010100",
2391
 B"11110011", B"11100001", B"00100010", B"00110011", B"11111000",
2392
 B"11001101", B"00110100", B"11011010", B"11100111", B"00010111",
2393
 B"11100010", B"11110101", B"11100001", B"11101101", B"11100001",
2394
 B"01000000", B"00101001", B"11100111", B"11100110", B"11011110",
2395
 B"11110111", B"11010100", B"00000101", B"11010101", B"00111111",
2396
 B"10111101", B"11011100", B"10101111", B"00001010", B"00000110",
2397
 B"11100100", B"11110001", B"00000010", B"11101110", B"11011101",
2398
 B"11011000", B"11011101", B"11110000", B"00001010", B"00010101",
2399
 B"00011011", B"00111001", B"00010001", B"00101110", B"11100100",
2400
 B"11111111", B"11001011", B"00100001", B"11001101", B"11101101",
2401
 B"11011011", B"00010100", B"00110011", B"11010110", B"00110010",
2402
 B"00010000", B"11101101", B"00010101", B"00000101", B"11011000",
2403
 B"11100001", B"00011110", B"00011000", B"00110011", B"00011110",
2404
 B"00100100", B"00101010", B"00101111", B"00101000", B"00011001",
2405
 B"00000111", B"00000100", B"11100110", B"00011011", B"00110101",
2406
 B"11101011", B"11100000", B"00010100", B"00010011", B"00011011",
2407
 B"11011001", B"00100111", B"00011011", B"11001100", B"11011111",
2408
 B"00011001", B"11110000", B"11101000", B"11011010", B"00011010",
2409
 B"00100111", B"11111001", B"00100000", B"11001011", B"00111101",
2410
 B"11110110", B"00001100", B"00010000", B"11101000", B"01000000",
2411
 B"00101110", B"10111111", B"00010001", B"00011111", B"11100011",
2412
 B"00100111", B"00001001", B"11011101", B"11100100", B"00001011",
2413
 B"00011001", B"11010001", B"00010101", B"00100100", B"11110010",
2414
 B"00100110", B"00010011", B"11101110", B"00011110", B"11100000",
2415
 B"10111111", B"00100010", B"11100110", B"11000111", B"11101010",
2416
 B"11010000", B"11101100", B"00100001", B"00001110", B"11110011",
2417
 B"11011101", B"11011101", B"11101100", B"11110001", B"11001001",
2418
 B"11100100", B"00011001", B"11100110", B"00101100", B"11001010",
2419
 B"11110110", B"00111001", B"11011110", B"00100000", B"11010011",
2420
 B"00001100", B"11100011", B"11010001", B"00010111", B"00100111",
2421
 B"11111000", B"11010011", B"10111101", B"11101101", B"00100010",
2422
 B"00111010", B"00010000", B"00010001", B"11100110", B"11110001",
2423
 B"00110001", B"11100001", B"00000111", B"11011100", B"11001110",
2424
 B"00101101", B"00100011", B"11011111", B"11001001", B"00001110",
2425
 B"11110011", B"11000111", B"11100001", B"11111011", B"00001100",
2426
 B"11010001", B"00001010", B"00010100", B"10110100", B"00100000",
2427
 B"00101001", B"11010111", B"00001000", B"11011110", B"11010110",
2428
 B"11100110", B"11101101", B"00100110", B"11100010", B"00010111",
2429
 B"00010000", B"11101101", B"11011110", B"11101000", B"11000101",
2430
 B"00010101", B"11101101", B"00000111", B"11101010", B"00110000",
2431
 B"00001001", B"00011111", B"11100001", B"00001101", B"11100000",
2432
 B"00100101", B"00110010", B"11100001", B"11000010", B"11111001",
2433
 B"11010011", B"00100110", B"11101110", B"00000110", B"11100110",
2434
 B"00100111", B"00010001", B"00011001", B"11010101", B"00011100",
2435
 B"11010011", B"00010100", B"00010001", B"00110011", B"11001111",
2436
 B"00001111", B"00001011", B"11001100", B"11000100", B"00110111",
2437
 B"00010000", B"11011011", B"11001000", B"00111111", B"11110011",
2438
 B"11010001", B"11001111", B"11010110", B"11111100", B"00101111",
2439
 B"00100010", B"00111011", B"11110000", B"00101011", B"11100010",
2440
 B"00010100", B"11101110", B"00101110", B"00011100", B"11101100",
2441
 B"11011101", B"11100010", B"11101111", B"11101001", B"00011110",
2442
 B"00001110", B"11100111", B"11100011", B"00001111", B"00010000",
2443
 B"11000101", B"11010001", B"11100010", B"11010011", B"00100111",
2444
 B"00110001", B"00101000", B"00101101", B"11010001", B"11100011",
2445
 B"00001010", B"00001111", B"11011111", B"00101000", B"00110101",
2446
 B"11100010", B"11010010", B"00111110", B"11101100", B"00101010",
2447
 B"11100111", B"00001111", B"00011010", B"11010011", B"11100000",
2448
 B"01000011", B"11111101", B"11100100", B"11001111", B"11011010",
2449
 B"00110110", B"00011011", B"00001000", B"00011111", B"00010101",
2450
 B"00011000", B"11101111", B"11010110", B"00101010", B"00101000",
2451
 B"11011011", B"11100001", B"00011010", B"00101001", B"00010001",
2452
 B"00011100", B"00011011", B"00110100", B"11111011", B"00010010",
2453
 B"11011010", B"11011111", B"11101011", B"11100010", B"00100010",
2454
 B"00101111", B"00010000", B"00001101", B"11010000", B"11001101",
2455
 B"00010010", B"00011011", B"00001001", B"00011111", B"10111111",
2456
 B"11101100", B"00001111", B"00010101", B"00111001", B"11100110",
2457
 B"00001100", B"11101011", B"00100100", B"11100000", B"11100010",
2458
 B"00010011", B"00111101", B"00101000", B"11101111", B"00011010",
2459
 B"11100110", B"11110110", B"00011001", B"11111011", B"00010001",
2460
 B"11011010", B"00011100", B"11011000", B"00100011", B"11100100",
2461
 B"11001011", B"00010110", B"00101101", B"00011010", B"11100010",
2462
 B"00110001", B"11100101", B"00110001", B"00001111", B"11011110",
2463
 B"11010111", B"00101100", B"11011011", B"11100011", B"11100110",
2464
 B"00001100", B"11100000", B"00110010", B"11010011", B"00100001",
2465
 B"11010100", B"11101011", B"00011011", B"00110100", B"00001011",
2466
 B"11000011", B"11011000", B"11101110", B"00011011", B"00010100",
2467
 B"00010111", B"00011111", B"00001011", B"11111001", B"00011000",
2468
 B"00011100", B"11110000", B"00100001", B"00100010", B"11100011",
2469
 B"00100100", B"11000001", B"11001100", B"11011001", B"11011010",
2470
 B"00110001", B"11110000", B"00011011", B"00101100", B"00011010",
2471
 B"11101001", B"00101111", B"00011000", B"11101001", B"11100110",
2472
 B"00111101", B"00100010", B"11111000", B"11010010", B"11011010",
2473
 B"11101111", B"00100100", B"11100011", B"00001011", B"11011011",
2474
 B"01001110", B"00101100", B"11111000", B"11100000", B"11101100",
2475
 B"11110111", B"11011000", B"00101011", B"10110110", B"00000110",
2476
 B"00011001", B"11011101", B"01001000", B"00110111", B"10111011",
2477
 B"00100001", B"00001000", B"00000001", B"11110001", B"00011000",
2478
 B"11110011", B"00011010", B"11101101", B"11101110", B"00011100",
2479
 B"00100000", B"00011101", B"11100011", B"00110001", B"11101000",
2480
 B"01000111", B"00011110", B"11101000", B"00011001", B"00010110",
2481
 B"11100100", B"00110011", B"00100111", B"11010110", B"11110001",
2482
 B"11001011", B"11011010", B"00110001", B"01000100", B"11100000",
2483
 B"00100110", B"11011001", B"00100001", B"00011111", B"11100001",
2484
 B"00100101", B"00100111", B"11010111", B"10111011", B"00110100",
2485
 B"00000001", B"11011110", B"11011001", B"00010110", B"00010110",
2486
 B"11100100", B"00011010", B"11011011", B"00100101", B"11101110",
2487
 B"11110010", B"00100010", B"11000111", B"11101111", B"11110111",
2488
 B"11011000", B"11110110", B"00100000", B"00010010", B"00001101",
2489
 B"11110110", B"00011010", B"11100011", B"00101000", B"00010010",
2490
 B"11011100", B"11110001", B"00010000", B"11111110", B"11010101",
2491
 B"11001101", B"11010001", B"00010111", B"11010111", B"00001000",
2492
 B"11011111", B"11011001", B"00000100", B"11011100", B"00011000",
2493
 B"00010010", B"11101000", B"11110010", B"00011001", B"11100100",
2494
 B"11011001", B"11101001", B"11001111", B"00101101", B"00110000",
2495
 B"00010101", B"11110011", B"00011011", B"11101001", B"00000110",
2496
 B"11101101", B"00000111", B"11011101", B"11101001", B"00100111",
2497
 B"11000110", B"11100010", B"11101110", B"10110011", B"00101010",
2498
 B"00011110", B"00100100", B"11011000", B"00010001", B"11010101",
2499
 B"00011001", B"11100100", B"11011100", B"11100010", B"00011111",
2500
 B"11101010", B"00100110", B"11101110", B"11011100", B"00110000",
2501
 B"11110011", B"00100000", B"00001010", B"00110011", B"11110110",
2502
 B"00101111", B"11111010", B"00111010", B"11111100", B"11111100",
2503
 B"11101011", B"11100101", B"00011101", B"00101100", B"11111110",
2504
 B"00110110", B"11011010", B"00101111", B"00100101", B"11001011",
2505
 B"11000000", B"11101010", B"11011100", B"11110101", B"00110111",
2506
 B"00100000", B"11011000", B"11100101", B"00100010", B"00011010",
2507
 B"11010101", B"11101111", B"00011100", B"00110100", B"11010011",
2508
 B"11100110", B"00101100", B"00101000", B"11100111", B"11101010",
2509
 B"11010100", B"00100111", B"11101011", B"11101001", B"11011100",
2510
 B"00100011", B"00010111", B"11100100", B"11101001", B"11001100",
2511
 B"11010011", B"11011000", B"00101111", B"00011100", B"00011000",
2512
 B"00011000", B"11111101", B"11101100", B"00010100", B"00111000",
2513
 B"00100000", B"00000101", B"11110001", B"11101011", B"11010110",
2514
 B"00010110", B"00011000", B"11101011", B"11011101", B"11101010",
2515
 B"11001111", B"11010010", B"11100000", B"10111101", B"00011000",
2516
 B"11101111", B"00011010", B"11011110", B"11010011", B"00110100",
2517
 B"11101010", B"11101100", B"11101001", B"11111101", B"00001001",
2518
 B"00101101", B"00000101", B"00011101", B"00100001", B"00100001",
2519
 B"11100100", B"00110101", B"00001001", B"11011011", B"11101111",
2520
 B"00101111", B"11101000", B"11101101", B"00100001", B"11100011",
2521
 B"00010111", B"11101000", B"11010001", B"00010110", B"00011110",
2522
 B"00011000", B"11000010", B"00001011", B"00110101", B"10110101",
2523
 B"11011000", B"00101100", B"11011100", B"00011110", B"11101000",
2524
 B"00110000", B"11001110", B"00000010", B"01000011", B"00101110",
2525
 B"00110010", B"11110000", B"00110011", B"00010010", B"11010100",
2526
 B"00100101", B"00011011", B"11100000", B"11010100", B"00011001",
2527
 B"00101000", B"00101011", B"11000101", B"00101010", B"11001001",
2528
 B"00001010", B"00010101", B"00100001", B"00000111", B"00011111",
2529
 B"00110111", B"00110110", B"00010110", B"00000110", B"00100011",
2530
 B"00010010", B"00010000", B"00101001", B"00100011", B"00100001",
2531
 B"00100001", B"00001100", B"11100010", B"11110011", B"00011100",
2532
 B"00010000", B"00011000", B"00110000", B"11001101", B"11000101",
2533
 B"11110001", B"00100111", B"11100101", B"10111011", B"10111101",
2534
 B"00101000", B"00010101", B"11001101", B"00101011", B"11011011",
2535
 B"00011010", B"00001111", B"10111101", B"00100000", B"00011001",
2536
 B"11001101", B"00100011", B"00010000", B"11010111", B"11011001",
2537
 B"00110010", B"00010110", B"11110101", B"11001100", B"00100010",
2538
 B"11100011", B"00101000", B"00011001", B"11010111", B"00101000",
2539
 B"00011010", B"11011000", B"11111010", B"11111001", B"00011011",
2540
 B"11100001", B"11010110", B"11111110", B"11101111", B"11011010",
2541
 B"11110100", B"00011011", B"00110111", B"11010010", B"11010101",
2542
 B"11011010", B"11110100", B"11011010", B"00100010", B"00011111",
2543
 B"11010101", B"11011111", B"00011010", B"00000101", B"11111110",
2544
 B"10111001", B"11011000", B"00011111", B"00100100", B"11100101",
2545
 B"11011110", B"11010101", B"11110100", B"11001011", B"00010001",
2546
 B"11101010", B"11100100", B"00101111", B"11111001", B"11000110",
2547
 B"11000100", B"11100111", B"11100000", B"11100010", B"11011110",
2548
 B"11101010", B"00101010", B"00100000", B"00101001", B"00010111",
2549
 B"11101100", B"00110100", B"11011100", B"11101110", B"11101011",
2550
 B"00100001", B"00110000", B"11011111", B"00101000", B"00011101",
2551
 B"00111000", B"11101011", B"00110011", B"11110110", B"00100001",
2552
 B"11000010", B"11010100", B"00110111", B"11100100", B"11011101",
2553
 B"11011000", B"00100000", B"00011001", B"11100010", B"00010001",
2554
 B"00101101", B"00101110", B"00110000", B"00100010", B"00100111",
2555
 B"00100010", B"00100110", B"00011001", B"11001101", B"11011000",
2556
 B"00000111", B"11100110", B"11100000", B"11100011", B"11100111",
2557
 B"00010110", B"11101011", B"00101100", B"10111101", B"11010100",
2558
 B"11110111", B"00010011", B"00101001", B"00011011", B"11100001",
2559
 B"11001010", B"11100100", B"11100111", B"00100101", B"11100011",
2560
 B"00011011", B"00000111", B"11110011", B"00100101", B"11111001",
2561
 B"11101011", B"11100001", B"00110011", B"00100111", B"11010110",
2562
 B"11100101", B"00001010", B"11001001", B"00100011", B"11010111",
2563
 B"11100000", B"00011011", B"00011001", B"00011101", B"11100000",
2564
 B"11101101", B"00010100", B"00110110", B"11101011", B"11100001",
2565
 B"00110011", B"11010101", B"11101001", B"00010001", B"11110110",
2566
 B"11001110", B"11011101", B"11011010", B"11100101", B"11001101",
2567
 B"00010110", B"00100111", B"00100101", B"00010100", B"11101000",
2568
 B"11010111", B"11010011", B"11101111", B"00010101", B"11001111",
2569
 B"11011010", B"11110001", B"11101010", B"00000001", B"11011110",
2570
 B"11011001", B"11100011", B"00101001", B"00011011", B"11001100",
2571
 B"00010010", B"11010001", B"11101010", B"11001101", B"11101111",
2572
 B"11111000", B"00100101", B"00010111", B"00100011", B"00000111",
2573
 B"11101101", B"11010111", B"00101001", B"11101010", B"00001101",
2574
 B"11110110", B"11011011", B"00011001", B"00110000", B"11100001",
2575
 B"11100100", B"00100110", B"11011101", B"11111010", B"11101101",
2576
 B"11101010", B"11101010", B"00010000", B"11100110", B"00001100",
2577
 B"11011011", B"11011000", B"01000000", B"00010110", B"00001111",
2578
 B"11110100", B"00110000", B"11010011", B"11100111", B"11110010",
2579
 B"00011111", B"00011101", B"11011011", B"00010011", B"00001100",
2580
 B"00011111", B"11111010", B"00100100", B"11011111", B"00011001",
2581
 B"11110100", B"00001000", B"11110110", B"00100010", B"11001101",
2582
 B"11100001", B"00110110", B"00101000", B"00011011", B"00011100",
2583
 B"00011001", B"00110000", B"00011101", B"00001001", B"00111010",
2584
 B"00000011", B"11010111", B"00110010", B"11010001", B"11011111",
2585
 B"11101010", B"00110100", B"00100110", B"11011010", B"11011001",
2586
 B"11100100", B"00001100", B"00011100", B"00010111", B"00011110",
2587
 B"11010010", B"11011100", B"11101010", B"00001000", B"00010101",
2588
 B"00100110", B"11110100", B"00101100", B"11010100", B"00110010",
2589
 B"11101000", B"11010010", B"11110001", B"11010101", B"00110111",
2590
 B"00011100", B"00100101", B"00011010", B"00111011", B"11011010",
2591
 B"00101100", B"11011001", B"11011010", B"11100011", B"00101010",
2592
 B"00010001", B"00011111", B"11110000", B"11010010", B"11100100",
2593
 B"11110101", B"00010111", B"11000100", B"00111001", B"11100101",
2594
 B"00011000", B"11101011", B"11010011", B"11110110", B"00100101",
2595
 B"00101000", B"11100000", B"00001001", B"11101001", B"00010100",
2596
 B"11101111", B"11010011", B"11101111", B"00101000", B"00011111",
2597
 B"10111111", B"11110011", B"00010011", B"11011101", B"00110011",
2598
 B"11010010", B"11011110", B"00011101", B"11100010", B"11001101",
2599
 B"11100001", B"00011000", B"00001111", B"11100011", B"00100101",
2600
 B"11100110", B"01001101", B"11110100", B"00100111", B"11100011",
2601
 B"11011001", B"11011110", B"00010101", B"00110010", B"11010110",
2602
 B"00100101", B"00000110", B"00010111", B"11101100", B"00011000",
2603
 B"11100001", B"00001101", B"00100010", B"00100111", B"11011111",
2604
 B"11011100", B"00101001", B"00101101", B"00000000", B"11010101",
2605
 B"11010010", B"11011011", B"00111100", B"11011011", B"00110110",
2606
 B"11100001", B"11001110", B"00111011", B"11011111", B"00001100",
2607
 B"11100001", B"00011111", B"00101110", B"11111000", B"00101101",
2608
 B"11100101", B"00101110", B"11010111", B"10111100", B"00000101",
2609
 B"11011111", B"11011111", B"11001011", B"11011001", B"11010000",
2610
 B"11011111", B"00001011", B"00100001", B"00110010", B"00101010",
2611
 B"11101000", B"11011000", B"11011110", B"11100011", B"11001100",
2612
 B"00110100", B"00001000", B"11011110", B"00100011", B"11100010",
2613
 B"00100001", B"00100010", B"00110000", B"00100001", B"00011001",
2614
 B"00010100", B"00100000", B"00011101", B"11101101", B"00101000",
2615
 B"11110100", B"11100110", B"11101000", B"00100100", B"00011001",
2616
 B"11100000", B"11100011", B"00100101", B"11010001", B"11111100",
2617
 B"11001101", B"00011101", B"00010110", B"11011010", B"00001011",
2618
 B"00100010", B"00110001", B"00100101", B"00010101", B"11111010",
2619
 B"00010100", B"00011110", B"00101001", B"00011100", B"00010011",
2620
 B"11100001", B"00001111", B"11011111", B"00011111", B"11100101",
2621
 B"00110111", B"00101100", B"11001011", B"11010111", B"00011111",
2622
 B"00100101", B"11011001", B"11100101", B"11010011", B"11110011",
2623
 B"00001110", B"11100110", B"00011010", B"11101110", B"11010001",
2624
 B"00011010", B"00011110", B"00110111", B"11110101", B"11011100",
2625
 B"00000111", B"00110001", B"11101001", B"00000101", B"11110011",
2626
 B"11100101", B"11100011", B"11011111", B"00100000", B"00110010",
2627
 B"00011110", B"00110000", B"11100000", B"00011101", B"00110111",
2628
 B"00001111", B"11101001", B"00100010", B"11010110", B"00010110",
2629
 B"11101101", B"11010010", B"00100011", B"11010110", B"00101011",
2630
 B"11011000", B"11100011", B"00110101", B"11011011", B"00011100",
2631
 B"00001101", B"11010000", B"11101001", B"11101000", B"11110001",
2632
 B"11010011", B"11011101", B"11101100", B"11011110", B"00000001",
2633
 B"00110101", B"11011101", B"00011110", B"11101011", B"11001010",
2634
 B"11101001", B"00101110", B"00100011", B"00110010", B"00101010",
2635
 B"11001010", B"11001100", B"00101110", B"11101111", B"00011101",
2636
 B"00000111", B"11100110", B"00111010", B"00101000", B"11110001",
2637
 B"00011001", B"11011100", B"11100100", B"11100011", B"11011001",
2638
 B"00001100", B"11011001", B"00011010", B"00101011", B"00011011",
2639
 B"11101000", B"00110001", B"00011010", B"11110000", B"11110110",
2640
 B"00000111", B"00010111", B"00100010", B"11100100", B"00010100",
2641
 B"00011001", B"11110011", B"11100110", B"00001110", B"11110000",
2642
 B"00100100", B"00110101", B"11010110", B"11011100", B"11010110",
2643
 B"11010011", B"11010101", B"00101101", B"11011110", B"11011011",
2644
 B"11100000", B"11011101", B"00010100", B"11001100", B"00100010",
2645
 B"11100000", B"11011111", B"00011010", B"11011010", B"01000111",
2646
 B"11110001", B"11100101", B"00010110", B"00100110", B"11001000",
2647
 B"00101100", B"11100100", B"11100001", B"11001110", B"11111101",
2648
 B"00100110", B"00100100", B"11111110", B"00100110", B"00001110",
2649
 B"11101000", B"00110101", B"00101110", B"11101010", B"00100011",
2650
 B"00110100", B"11011000", B"00100010", B"00101101", B"11010011",
2651
 B"11000111", B"00100011", B"11010111", B"00011001", B"00011100",
2652
 B"00011100", B"11101100", B"00110000", B"11011010", B"11110110",
2653
 B"11101101", B"00001101", B"00001100", B"00101000", B"00011110",
2654
 B"00101001", B"00010101", B"00010101", B"11100010", B"00001100",
2655
 B"00011110", B"11100001", B"11010110", B"11010011", B"11110111",
2656
 B"11001100", B"11101010", B"00101111", B"11110010", B"11100010",
2657
 B"11010101", B"00100000", B"00001000", B"11011001", B"00100010",
2658
 B"11101011", B"11100001", B"00110100", B"11001000", B"11101001",
2659
 B"11000011", B"11010001", B"11100110", B"11011110", B"11010010",
2660
 B"00101010", B"00001111", B"11001011", B"00011101", B"11101101",
2661
 B"00100001", B"11100101", B"00101011", B"00101100", B"11100110",
2662
 B"00100100", B"00010110", B"11010110", B"00110110", B"00010011",
2663
 B"11010101", B"11100101", B"00111001", B"00010111", B"11101000",
2664
 B"00000001", B"11100110", B"11101101", B"00011100", B"00100110",
2665
 B"00100110", B"00101101", B"11101000", B"11001010", B"00101000",
2666
 B"00001111", B"00010001", B"11101010", B"00100111", B"11011011",
2667
 B"00001000", B"11100101", B"00011001", B"11011100", B"11011110",
2668
 B"11111010", B"11101111", B"00110001", B"11011010", B"11111111",
2669
 B"00100110", B"00101100", B"00010101", B"01000011", B"00101011",
2670
 B"01000010", B"00110100", B"00001011", B"11100010", B"00010011",
2671
 B"01000100", B"11101000", B"11100011", B"11001000", B"11100011",
2672
 B"11101101", B"11011010", B"11010001", B"00110000", B"11011100",
2673
 B"00101111", B"11000111", B"11000001", B"00110000", B"11111111",
2674
 B"00101000", B"00101000", B"00010000", B"01000101", B"00100001",
2675
 B"00010010", B"00100000", B"11110111", B"11100011", B"11110010",
2676
 B"11010011", B"00101100", B"00101000", B"00100011", B"00101110",
2677
 B"00011001", B"00010111", B"11010100", B"11101111", B"00000110",
2678
 B"00100101", B"11011001", B"11101001", B"11011001", B"00101000",
2679
 B"00100101", B"00101101", B"11110101", B"00111010", B"11010101",
2680
 B"11110100", B"11100011", B"00010101", B"11100001", B"11010100",
2681
 B"11100011", B"00101101", B"00101111", B"11100011", B"00100001",
2682
 B"00001101", B"00111010", B"11011110", B"00110110", B"11100000",
2683
 B"00101001", B"11110101", B"00101010", B"11110101", B"00100011",
2684
 B"11011100", B"11101110", B"11010010", B"00101001", B"00000000",
2685
 B"00101001", B"00101010", B"00100000", B"11110011", B"11111011",
2686
 B"11001010", B"00101011", B"11010110", B"11110011", B"11110110",
2687
 B"11011001", B"01000001", B"00111001", B"11110010", B"00110100",
2688
 B"10111000", B"11100110", B"11010111", B"00001110", B"00001111",
2689
 B"00100001", B"00110101", B"11011001", B"11101111", B"00100101",
2690
 B"10110111", B"00110100", B"11010001", B"11101100", B"11100000",
2691
 B"00101110", B"00001100", B"11000101", B"11010111", B"11110011",
2692
 B"00101011", B"00100101", B"11110110", B"00011100", B"11010100",
2693
 B"00001111", B"00000000", B"11100111", B"00110011", B"11010011",
2694
 B"11101110", B"11010001", B"11100110", B"00110100", B"00101000",
2695
 B"11100000", B"11100010", B"00100001", B"00101000", B"11011110",
2696
 B"00000100", B"00000010", B"11101011", B"00100000", B"00001100",
2697
 B"11110010", B"00011000", B"00111110", B"11001110", B"00010011",
2698
 B"11110010", B"00111100", B"11101000", B"11011100", B"11011101",
2699
 B"00000001", B"00110101", B"00001110", B"11010111", B"11010110",
2700
 B"11001110", B"11111101", B"00011010", B"00100000", B"00001110",
2701
 B"00000101", B"11110101", B"11100101", B"00010100", B"11101100",
2702
 B"11011111", B"00001100", B"11101001", B"00101001", B"11100100",
2703
 B"00100100", B"11011010", B"11011110", B"11011101", B"00001001",
2704
 B"00001000", B"00110000", B"00011111", B"11011000", B"10101101",
2705
 B"00011110", B"00000111", B"11110011", B"11110110", B"11010101",
2706
 B"00001001", B"11011100", B"11011011", B"11101110", B"00000001",
2707
 B"00101000", B"11010001", B"00010110", B"11011110", B"00010111",
2708
 B"00101011", B"11111101", B"00110100", B"00101001", B"11010010",
2709
 B"11110010", B"11011100", B"11111100", B"00100111", B"00111001",
2710
 B"11101001", B"00110001", B"11101010", B"00011000", B"00000001",
2711
 B"11110001", B"00011111", B"11011000", B"11011110", B"11011010",
2712
 B"11110011", B"11010000", B"11100111", B"00011101", B"00011110",
2713
 B"00001110", B"00011000", B"11100110", B"11010101", B"11010000",
2714
 B"00100111", B"11101011", B"00001111", B"10111001", B"11110101",
2715
 B"00000010", B"00100001", B"00011010", B"11100010", B"11010101",
2716
 B"11000011", B"11010111", B"00101101", B"11011010", B"00110000",
2717
 B"11011010", B"11011100", B"11010100", B"11010100", B"00111100",
2718
 B"00010100", B"00000111", B"00010101", B"11100100", B"11110000",
2719
 B"00101010", B"00101010", B"00100010", B"00110110", B"11000100",
2720
 B"11100000", B"00100110", B"00100110", B"11100101", B"11110010",
2721
 B"00011010", B"00011011", B"11010111", B"11000101", B"11001101",
2722
 B"00110000", B"11100001", B"11111001", B"11000000", B"00110000",
2723
 B"01000111", B"11100011", B"11010100", B"11010010", B"00100010",
2724
 B"11110111", B"00011010", B"11100100", B"11001001", B"00100101",
2725
 B"11010110", B"11100100", B"00111010", B"11010111", B"00011111",
2726
 B"11100011", B"11101001", B"00010010", B"11010110", B"00001111",
2727
 B"11000100", B"11111010", B"11010100", B"00000110", B"00011111",
2728
 B"11011010", B"11100110", B"00011101", B"11111001", B"11111001",
2729
 B"11010011", B"00101010", B"00100101", B"11101100", B"11011101",
2730
 B"11011000", B"00100000", B"00001110", B"00110111", B"00110000",
2731
 B"11010011", B"11011001", B"00101110", B"11111100", B"00110100",
2732
 B"11001111", B"11010111", B"11010010", B"00011000", B"00111001",
2733
 B"00101011", B"00000100", B"00001110", B"11011011", B"00100111",
2734
 B"11010011", B"00100010", B"11101110", B"11111001", B"00001010",
2735
 B"00001100", B"00010010", B"10111100", B"00010111", B"11101000",
2736
 B"00101010", B"00000010", B"11100101", B"00011011", B"11001101",
2737
 B"00010111", B"11101100", B"11101001", B"00011010", B"11001111",
2738
 B"11100100", B"00110111", B"00100100", B"00011011", B"00100000",
2739
 B"11011000", B"00000100", B"11010011", B"11011110", B"00011101",
2740
 B"01000001", B"00100011", B"00101001", B"11011100", B"11011100",
2741
 B"00011010", B"00100111", B"00001011", B"11011101", B"00100101",
2742
 B"11100011", B"00001010", B"00000001", B"00000110", B"11100100",
2743
 B"00110111", B"11110000", B"00001111", B"11000000", B"11100011",
2744
 B"00000010", B"11010010", B"11100011", B"11101100", B"01000001",
2745
 B"00100000", B"11011100", B"00011010", B"11101001", B"00000001",
2746
 B"11110111", B"00010001", B"11010011", B"11010111", B"11010111",
2747
 B"00100001", B"00111001", B"00100101", B"11010011", B"11100110",
2748
 B"00101001", B"11100010", B"11101111", B"11111110", B"11100011",
2749
 B"00100100", B"00101001", B"10110010", B"00101000", B"00101010",
2750
 B"11001111", B"11110011", B"00101011", B"11000011", B"00100011",
2751
 B"00110010", B"00010110", B"11100001", B"00011101", B"11010010",
2752
 B"00110110", B"11010100", B"11110110", B"00011110", B"00011100",
2753
 B"00100010", B"00101000", B"11100111", B"11011001", B"11101010",
2754
 B"11100010", B"00100100", B"11001000", B"00000110", B"11010110",
2755
 B"11010101", B"00110101", B"00000111", B"11110011", B"00010110",
2756
 B"11001100", B"11011010", B"11100000", B"00100010", B"00011010",
2757
 B"11101111", B"00001110", B"00100100", B"11011010", B"11101011",
2758
 B"11101011", B"11100001", B"11100111", B"00001000", B"11111011",
2759
 B"11100101", B"00101010", B"11101110", B"11011110", B"11001010",
2760
 B"11101001", B"11100000", B"00101001", B"00001100", B"00100011",
2761
 B"11100001", B"00011111", B"11100111", B"00100110", B"11100010",
2762
 B"00110001", B"11010010", B"11001010", B"11100000", B"00010101",
2763
 B"00101110", B"11011100", B"00010110", B"11011100", B"11111100",
2764
 B"00110001", B"11100001", B"00111010", B"00101100", B"11101001",
2765
 B"00011110", B"11111011", B"00111001", B"11011110", B"00000101",
2766
 B"10111111", B"00101100", B"11110111", B"00001110", B"11101010",
2767
 B"00000010", B"00010111", B"11101100", B"00110001", B"00101101",
2768
 B"11100100", B"11001101", B"11101010", B"00000111", B"11010110",
2769
 B"00110100", B"00110000", B"00010010", B"00111010", B"10110100",
2770
 B"11011001", B"11100011", B"00100001", B"00100101", B"11100111",
2771
 B"00111000", B"11101000", B"11011000", B"00001111", B"00101100",
2772
 B"00100111", B"11101000", B"00011110", B"11111000", B"00101001",
2773
 B"11100101", B"00101110", B"00111110", B"00010010", B"11001011",
2774
 B"00001001", B"11100011", B"00110100", B"00100011", B"00011000",
2775
 B"01000001", B"00110110", B"00000011", B"00100110", B"00011001",
2776
 B"00010110", B"00100010", B"00000100", B"00011011", B"11010110",
2777
 B"00100110", B"10111100", B"00001011", B"11011010", B"11001101",
2778
 B"11100010", B"00001110", B"00101101", B"00011001", B"00100001",
2779
 B"10110001", B"11001000", B"11111000", B"11101111", B"00011110",
2780
 B"00011001", B"00100100", B"00000111", B"11010000", B"11010100",
2781
 B"00011000", B"11100001", B"00101011", B"11001010", B"11110011",
2782
 B"11011010", B"00011100", B"00100010", B"11101010", B"00100110",
2783
 B"00100011", B"11100100", B"11100110", B"11000111", B"00000111",
2784
 B"11011011", B"11001011", B"00100110", B"00000011", B"11100011",
2785
 B"11001011", B"11110001", B"11101000", B"11010011", B"11011011",
2786
 B"11110000", B"00111001", B"11101110", B"11101101", B"11010110",
2787
 B"11011010", B"01000000", B"00101101", B"00101110", B"00001101",
2788
 B"01000101", B"00011111", B"00100000", B"00100000", B"00011110",
2789
 B"01000011", B"00010011", B"11001110", B"00101010", B"00110010",
2790
 B"11011000", B"11001010", B"00101111", B"11101000", B"00001000",
2791
 B"00100011", B"11010000", B"11011110", B"11101001", B"11001011",
2792
 B"11100010", B"00001011", B"00110110", B"00001100", B"11001011",
2793
 B"00000000", B"11101110", B"00011101", B"11000100", B"11110011",
2794
 B"00101001", B"00010000", B"11101111", B"11011010", B"11000011",
2795
 B"11011010", B"11110110", B"00011001", B"11011011", B"11011101",
2796
 B"11101000", B"11011001", B"00100100", B"11000010", B"00000010",
2797
 B"11001111", B"11001010", B"11011111", B"11011111", B"00011110",
2798
 B"00011111", B"00011111", B"00000111", B"11010111", B"11110000",
2799
 B"00010100", B"11011001", B"00101000", B"11100111", B"11011000",
2800
 B"00011110", B"00101101", B"00011100", B"00110100", B"11100110",
2801
 B"00010101", B"11100011", B"00000011", B"11010001", B"00011100",
2802
 B"00101011", B"11001110", B"00011001", B"00011100", B"11101010",
2803
 B"11010110", B"00100000", B"00010101", B"00110101", B"11001111",
2804
 B"11100010", B"00001111", B"00101110", B"11101001", B"11001111",
2805
 B"00011011", B"00100011", B"11101011", B"00011000", B"00100111",
2806
 B"11101100", B"11110000", B"00011001", B"00101110", B"00100000",
2807
 B"00011001", B"11011000", B"00101000", B"11011100", B"00101101",
2808
 B"11011111", B"00100001", B"11101010", B"11010100", B"00000001",
2809
 B"11101110", B"11100010", B"11100100", B"11101111", B"11101111",
2810
 B"11110000", B"11110110", B"11100110", B"00010110", B"00011001",
2811
 B"00011110", B"00101111", B"00101110", B"00010101", B"11110011",
2812
 B"00001001", B"00100111", B"11110001", B"11111001", B"00000111",
2813
 B"00011111", B"00101000", B"00110001", B"11010101", B"00000100",
2814
 B"11010100", B"00001000", B"11100000", B"11011111", B"00101110",
2815
 B"00001110", B"00100100", B"11100111", B"00100111", B"11111001",
2816
 B"00011000", B"11100110", B"00101101", B"11011111", B"11001000",
2817
 B"11010101", B"00011001", B"11111011", B"11011010", B"11110011",
2818
 B"00100011", B"11011101", B"00100011", B"11100101", B"11100011",
2819
 B"00101011", B"00101101", B"00100100", B"11110001", B"00100101",
2820
 B"00110110", B"10111110", B"00111010", B"00001001", B"11111001",
2821
 B"11100100", B"00011100", B"00011101", B"11011100", B"11100110",
2822
 B"11111000", B"11011100", B"11011100", B"00100100", B"11001011",
2823
 B"01000011", B"00101011", B"11100010", B"00010100", B"00100011",
2824
 B"11100000", B"11010111", B"11110011", B"11100101", B"00011111",
2825
 B"00100111", B"11101100", B"00100001", B"11100110", B"11010101",
2826
 B"00101111", B"11100110", B"00010011", B"11010001", B"11100111",
2827
 B"00111100", B"00001001", B"10111010", B"00001000", B"00010101",
2828
 B"00111011", B"11110101", B"00100000", B"11111100", B"00110001",
2829
 B"11101110", B"11100000", B"00101011", B"00011010", B"00001111",
2830
 B"00010011", B"11001011", B"11111001", B"00010100", B"00101011",
2831
 B"11100100", B"00110101", B"00110110", B"11100010", B"11111000",
2832
 B"00101111", B"00000111", B"11100101", B"00101000", B"00111000",
2833
 B"00000001", B"00001011", B"11001101", B"11100111", B"00101000",
2834
 B"11010101", B"00010111", B"11101100", B"11100001", B"11100110",
2835
 B"00001100", B"00101011", B"11011011", B"11011000", B"00101010",
2836
 B"00100101", B"00010000", B"00100101", B"11101100", B"11000111",
2837
 B"11010110", B"11001110", B"11110111", B"11101000", B"00011111",
2838
 B"00111010", B"00110000", B"00010100", B"00100010", B"11011001",
2839
 B"00110101", B"00010100", B"11001011", B"00010101", B"00101010",
2840
 B"11001111", B"11010011", B"01001001", B"11011011", B"11101101",
2841
 B"11110010", B"00100110", B"00010001", B"11101101", B"00011101",
2842
 B"00011110", B"00100111", B"00001000", B"00100001", B"00011110",
2843
 B"00000001", B"00100011", B"00010100", B"11011000", B"00011001",
2844
 B"11011100", B"11101011", B"11001101", B"00011000", B"00110100",
2845
 B"00100101", B"11001010", B"11010101", B"11001110", B"11011001",
2846
 B"01000000", B"11010000", B"00101001", B"00011101", B"00001110",
2847
 B"00100001", B"11011111", B"00110110", B"11010000", B"00111000",
2848
 B"11110111", B"00101100", B"11010110", B"11100101", B"00111000",
2849
 B"11011010", B"11110111", B"11011110", B"11011011", B"00001001",
2850
 B"11101011", B"00100010", B"11010100", B"11100000", B"11100111",
2851
 B"00010010", B"00110010", B"00101101", B"11001011", B"11100010",
2852
 B"00100011", B"11110100", B"11011001", B"11010100", B"11011011",
2853
 B"11011001", B"00010110", B"11001111", B"11111101", B"11100010",
2854
 B"00101101", B"00101011", B"11100111", B"11011010", B"11100101",
2855
 B"11010100", B"11111010", B"00001100", B"00100000", B"00001100",
2856
 B"00110100", B"11100111", B"11010001", B"00001110", B"11111100",
2857
 B"00100101", B"11110100", B"11100000", B"00011001", B"00011010",
2858
 B"11110000", B"11110000", B"11100010", B"11111011", B"00100000",
2859
 B"00000111", B"00101010", B"00000110", B"00011111", B"11101000",
2860
 B"00001001", B"11101011", B"11011001", B"00110101", B"01000111",
2861
 B"00101000", B"11101100", B"11010111", B"00011010", B"11100011",
2862
 B"11110011", B"11001100", B"11100100", B"00010110", B"00011011",
2863
 B"11001011", B"11100100", B"00000111", B"00100001", B"11101101",
2864
 B"11000101", B"00010000", B"00110111", B"00011010", B"00001001",
2865
 B"00110000", B"00010011", B"00100101", B"00010010", B"00010001",
2866
 B"00101010", B"00101011", B"11110101", B"00001011", B"11101101",
2867
 B"00010001", B"11001100", B"00011111", B"11101101", B"00100101",
2868
 B"11011111", B"11010111", B"11100001", B"00110100", B"00010111",
2869
 B"11100111", B"00000110", B"01001110", B"11100110", B"11010111",
2870
 B"11011110", B"11101000", B"11011001", B"11000011", B"00011101",
2871
 B"00100011", B"11100100", B"11110010", B"11010111", B"11010110",
2872
 B"11101100", B"11101011", B"00100000", B"00101111", B"11011000",
2873
 B"11111000", B"10101010", B"11100000", B"11100000", B"00011111",
2874
 B"00011101", B"00011011", B"11101001", B"00001111", B"11101110",
2875
 B"00001111", B"11011100", B"00000001", B"11101101", B"00011011",
2876
 B"00010111", B"00010111", B"00001110", B"11101100", B"11101011",
2877
 B"11101001", B"00101110", B"11010010", B"00011110", B"11101001",
2878
 B"11101000", B"00111000", B"00011101", B"01000000", B"11101100",
2879
 B"11011011", B"00111001", B"11010100", B"11001001", B"11100011",
2880
 B"11100011", B"00100010", B"00010011", B"11010100", B"11100111",
2881
 B"00010111", B"00111110", B"11010101", B"11000111", B"11000101",
2882
 B"11011100", B"11100001", B"11000111", B"00101011", B"00010001",
2883
 B"00001110", B"00011100", B"00010011", B"00011011", B"11011001",
2884
 B"00010011", B"00110111", B"11110100", B"11010001", B"00100000",
2885
 B"11001101", B"00011110", B"00100011", B"11001110", B"11101000",
2886
 B"11100110", B"11101101", B"11011011", B"11001111", B"11100110",
2887
 B"11011010", B"00000110", B"00100000", B"11100010", B"00110100",
2888
 B"11011001", B"11001011", B"11101001", B"11010110", B"11011111",
2889
 B"00100010", B"00011000", B"00100111", B"00100101", B"00101100",
2890
 B"00100000", B"00110100", B"00010110", B"00100110", B"00101000",
2891
 B"01000001", B"00001110", B"11100010", B"00001110", B"11100000",
2892
 B"11010100", B"11010110", B"00010100", B"00110111", B"11100001",
2893
 B"00001110", B"11001111", B"11110110", B"00010101", B"11010010",
2894
 B"11011110", B"11010011", B"11100011", B"11100011", B"00101110",
2895
 B"00100101", B"11010101", B"00010000", B"11010000", B"11010101",
2896
 B"10110111", B"11011011", B"11011111", B"00111011", B"11101110",
2897
 B"00101001", B"11100001", B"11001101", B"00110100", B"00001110",
2898
 B"00001110", B"11101100", B"11010110", B"00010100", B"00100010",
2899
 B"11010111", B"11111001", B"00010011", B"00011101", B"11100110",
2900
 B"00010111", B"00101100", B"11101100", B"11111010", B"00010110",
2901
 B"11010110", B"10110011", B"00101101", B"00010001", B"00001110",
2902
 B"00100011", B"11101100", B"11101011", B"11110101", B"11011000",
2903
 B"00011010", B"11011111", B"00110001", B"11011011", B"11010100",
2904
 B"00101000", B"11101011", B"01000011", B"00100110", B"00010011",
2905
 B"11100111", B"00100110", B"11011000", B"00010110", B"00101111",
2906
 B"00001111", B"00101110", B"11100110", B"00101100", B"11110001",
2907
 B"00011111", B"11101001", B"00011011", B"00011001", B"11101111",
2908
 B"11011000", B"00111111", B"00010110", B"11110010", B"11101000",
2909
 B"00100011", B"11100111", B"00100100", B"11001111", B"11100011",
2910
 B"11011000", B"00101000", B"00100010", B"00010001", B"11111100",
2911
 B"11100101", B"00110010", B"11010001", B"11011110", B"11101011",
2912
 B"00001000", B"11100001", B"00011110", B"00010000", B"11001010",
2913
 B"11100001", B"11110011", B"11100100", B"11000111", B"00010101",
2914
 B"11011110", B"11101100", B"11011011", B"11001110", B"00000000",
2915
 B"11011011", B"00010100", B"11011100", B"00101000", B"11101001",
2916
 B"00001111", B"11101110", B"11011000", B"00010001", B"00101001",
2917
 B"00011101", B"00101111", B"11011011", B"00011001", B"00011111",
2918
 B"11011101", B"11100011", B"00100010", B"11011011", B"00100011",
2919
 B"00010011", B"00100110", B"10110110", B"00110001", B"11100000",
2920
 B"00011110", B"00110100", B"11010010", B"00011001", B"00011110",
2921
 B"11101101", B"00000001", B"00110010", B"11100100", B"11011100",
2922
 B"11010101", B"11100100", B"11100101", B"00110110", B"00011000",
2923
 B"00100010", B"00101101", B"00100011", B"00101010", B"11101010",
2924
 B"00101000", B"00010010", B"11101111", B"11010011", B"00001110",
2925
 B"00100000", B"00100001", B"00011100", B"00101001", B"00000101",
2926
 B"01010100", B"00000011", B"00011001", B"00011110", B"00011101",
2927
 B"11100101", B"11100110", B"00111000", B"00011011", B"11101110",
2928
 B"11110011", B"11110110", B"00110010", B"11011000", B"00001111",
2929
 B"11110001", B"11010101", B"00011110", B"00001111", B"11111101",
2930
 B"00101100", B"11010101", B"00100000", B"11011011", B"11010110",
2931
 B"00011010", B"00100111", B"11100001", B"11100010", B"00001110",
2932
 B"11010000", B"00100111", B"11001110", B"11101000", B"00001111",
2933
 B"00010100", B"11001110", B"11001110", B"11110010", B"11010110",
2934
 B"00100110", B"11100110", B"00110110", B"11011010", B"00010110",
2935
 B"11100000", B"11110111", B"11010001", B"00100011", B"00111100",
2936
 B"11010000", B"00100001", B"00100000", B"11100001", B"11101000",
2937
 B"00010110", B"00011001", B"11100101", B"11011010", B"00101100",
2938
 B"11100101", B"01000111", B"00001001", B"11001101", B"00101001",
2939
 B"00100110", B"11010101", B"11101110", B"11110111", B"11011101",
2940
 B"11010101", B"00011101", B"00110001", B"00100101", B"00010101",
2941
 B"11001111", B"11100111", B"11100110", B"00100101", B"00011000",
2942
 B"11111001", B"00011110", B"11100000", B"00001001", B"00000111",
2943
 B"11010111", B"11100011", B"11101010", B"00100011", B"11100000",
2944
 B"00101101", B"00110001", B"11010110", B"11011001", B"11110010",
2945
 B"11101110", B"00100001", B"11010100", B"00100011", B"00100111",
2946
 B"00000110", B"11100101", B"00001100", B"00000010", B"11100010",
2947
 B"11101101", B"00111100", B"00101010", B"00010010", B"11011010",
2948
 B"11001110", B"00011010", B"00111011", B"11010000", B"11011100",
2949
 B"00001110", B"11101011", B"11011110", B"11011000", B"11101010",
2950
 B"00011100", B"11100110", B"11111010", B"11100100", B"10101101",
2951
 B"00110010", B"00110111", B"00010010", B"00101110", B"11100100",
2952
 B"11111110", B"00110100", B"00100111", B"11011100", B"00100111",
2953
 B"00010110", B"11001111", B"11011001", B"00110100", B"00101000",
2954
 B"11111000", B"00101101", B"11010011", B"11001101", B"11000100",
2955
 B"00011111", B"00100101", B"11100011", B"00000100", B"11011011",
2956
 B"00010101", B"11111110", B"11111000", B"00011111", B"00010111",
2957
 B"00100000", B"11000010", B"11011101", B"00010011", B"11010100",
2958
 B"11010011", B"11100101", B"11010011", B"11011010", B"11100010",
2959
 B"11011101", B"11001111", B"00001001", B"00010000", B"00100110",
2960
 B"00011110", B"11010111", B"11110001", B"00100101", B"11011101",
2961
 B"00101011", B"11010101", B"11100111", B"00101010", B"00101011",
2962
 B"11100101", B"00011100", B"11100000", B"11111001", B"11001000",
2963
 B"00100010", B"00010001", B"11110011", B"11011000", B"00110001",
2964
 B"00011110", B"00100111", B"00011110", B"11010111", B"11011101",
2965
 B"11010110", B"00011111", B"11011111", B"11000010", B"11101010",
2966
 B"00011010", B"00001000", B"11000111", B"11010100", B"00011100",
2967
 B"00011011", B"11011000", B"11010011", B"00101011", B"00101100",
2968
 B"11100010", B"11110001", B"00011000", B"11101000", B"11001100",
2969
 B"11011001", B"00100100", B"00111101", B"11100111", B"11100000",
2970
 B"11010110", B"11111010", B"00110101", B"00011001", B"11001000",
2971
 B"00001111", B"11101111", B"00011110", B"11100000", B"00100000",
2972
 B"00100100", B"00000001", B"00010010", B"00100010", B"11010110",
2973
 B"00100010", B"11100011", B"11101100", B"10111100", B"11101001",
2974
 B"00110001", B"11010011", B"11111101", B"00100110", B"00101001",
2975
 B"11101100", B"00111011", B"00010011", B"11001011", B"11100100",
2976
 B"00011111", B"11001110", B"11100010", B"00100011", B"00001111",
2977
 B"00100001", B"00010111", B"11010001", B"11011000", B"11110011",
2978
 B"11100001", B"00011011", B"11101000", B"00011011", B"11100101",
2979
 B"11100100", B"00001110", B"11010100", B"00110001", B"11111010",
2980
 B"00100100", B"11011100", B"00110001", B"11100001", B"00001100",
2981
 B"00100000", B"00110011", B"00011010", B"00101000", B"00001111",
2982
 B"00100101", B"00010101", B"00100001", B"00110001", B"11101011",
2983
 B"11010001", B"00011110", B"11011000", B"11101011", B"11100011",
2984
 B"10111111", B"11011101", B"00001101", B"11101100", B"11110100",
2985
 B"11011010", B"11110100", B"00001110", B"11101100", B"00010110",
2986
 B"00011111", B"11010101", B"11101111", B"00011110", B"00000011",
2987
 B"10110111", B"00000011", B"00100100", B"00011010", B"00101011",
2988
 B"11011011", B"00010101", B"11011100", B"00111001", B"11010110",
2989
 B"11001110", B"11101100", B"11111000", B"11010100", B"00101110",
2990
 B"00001010", B"00100001", B"00110101", B"00011001", B"00100011",
2991
 B"11100010", B"00100100", B"00110011", B"11110011", B"11100101",
2992
 B"00010101", B"11101010", B"11101111", B"00010110", B"11110100",
2993
 B"00111001", B"00001100", B"11101111", B"01000001", B"00100000",
2994
 B"00000110", B"00010100", B"11101011", B"00011110", B"10111110",
2995
 B"00011111", B"11010110", B"00001101", B"11001000", B"00110111",
2996
 B"11001000", B"11100111", B"11011000", B"00110101", B"00011110",
2997
 B"00011101", B"11101110", B"11010011", B"00000011", B"10110001",
2998
 B"00101010", B"11101011", B"00001001", B"11011111", B"00010010",
2999
 B"00010011", B"00100000", B"11001100", B"00010001", B"11100010",
3000
 B"00000101", B"11000000", B"00100100", B"11100011", B"00001101",
3001
 B"10111000", B"11001100", B"00100101", B"00111000", B"00001001",
3002
 B"01010001", B"00010010", B"00000101", B"00101000", B"00110110",
3003
 B"00000111", B"00011111", B"11110011", B"11111011", B"11100000",
3004
 B"00100011", B"01001110", B"11010110", B"00010100", B"11010101",
3005
 B"11011101", B"11010110", B"11110100", B"11010000", B"00100010",
3006
 B"00100010", B"00110001", B"00011110", B"11010110", B"11101010",
3007
 B"11100111", B"11101111", B"00111000", B"00010110", B"00110001",
3008
 B"00010110", B"11000001", B"11010101", B"11011010", B"11100000",
3009
 B"00010000", B"00111010", B"00011010", B"00101111", B"11010010",
3010
 B"00011001", B"11001101", B"11011110", B"11100111", B"00001111",
3011
 B"00001111", B"11100010", B"11001000", B"11011000", B"11100011",
3012
 B"00001010", B"00100111", B"11101100", B"00100010", B"11011100",
3013
 B"11111111", B"00011011", B"11100001", B"11110110", B"11100101",
3014
 B"00011100", B"11111100", B"11110101", B"11010101", B"11101010",
3015
 B"11110110", B"11100111", B"11111101", B"00011100", B"00010000",
3016
 B"00010011", B"00001000", B"00101001", B"00011000", B"11100111",
3017
 B"00010110", B"11100000", B"00011100", B"11100000", B"11100111",
3018
 B"01000010", B"00010111", B"11101100", B"11011111", B"11100101",
3019
 B"11010100", B"11010011", B"00011110", B"00000000", B"00011001",
3020
 B"11110010", B"11110010", B"11010110", B"00101001", B"00100101",
3021
 B"00110101", B"00011011", B"11100111", B"11001100", B"00110101",
3022
 B"00001010", B"11110000", B"10111101", B"00001001", B"00010010",
3023
 B"00011001", B"11011001", B"00110100", B"11011000", B"00001111",
3024
 B"11011100", B"00101110", B"11011110", B"11110001", B"00011110",
3025
 B"11010111", B"11011010", B"11011111", B"11100101", B"11100111",
3026
 B"00100010", B"11110100", B"11110010", B"11011111", B"11111001",
3027
 B"11110010", B"11101011", B"00101101", B"00110010", B"11001011",
3028
 B"00000001", B"00010111", B"11010101", B"11000101", B"00001101",
3029
 B"00011111", B"11011100", B"11011101", B"11001110", B"11100101",
3030
 B"00010111", B"11100010", B"00110000", B"11000100", B"11100011",
3031
 B"11110110", B"11001000", B"00100111", B"00101010", B"00011100",
3032
 B"00011011", B"11011001", B"11001110", B"00100011", B"11011100",
3033
 B"00100010", B"11111111", B"11011101", B"00100011", B"11100110",
3034
 B"11110000", B"11010111", B"00110000", B"00101100", B"11100010",
3035
 B"00100110", B"11001000", B"00010110", B"11011011", B"11010100",
3036
 B"00000110", B"00000011", B"11010101", B"11110010", B"11001100",
3037
 B"11100101", B"00101010", B"11011011", B"00010000", B"11101011",
3038
 B"11100011", B"00101010", B"00101011", B"11011010", B"11001101",
3039
 B"11110000", B"00010100", B"00111111", B"11110000", B"00111011",
3040
 B"11100110", B"11110010", B"11101101", B"00010110", B"00100010",
3041
 B"00001111", B"00101110", B"11100111", B"11101010", B"11010111",
3042
 B"11100001", B"00100100", B"00011000", B"00000101", B"00000011",
3043
 B"11001001", B"11100011", B"11101010", B"11100010", B"11000111",
3044
 B"00101001", B"00100001", B"11110001", B"00010100", B"11111001",
3045
 B"11110111", B"11101101", B"11100101", B"11010001", B"00011111",
3046
 B"00010001", B"00011110", B"00100000", B"00010001", B"00100110",
3047
 B"00110101", B"11010110", B"00000111", B"11011000", B"00101100",
3048
 B"11101110", B"11101011", B"00100110", B"11010100", B"00100000",
3049
 B"11011001", B"11011100", B"00110101", B"01000101", B"00101010",
3050
 B"11100101", B"11111110", B"11010010", B"11100010", B"00010101",
3051
 B"11001000", B"00001110", B"00100111", B"11100011", B"11001000",
3052
 B"11101100", B"10111010", B"00011001", B"11001110", B"00011100",
3053
 B"11011000", B"00101010", B"11011001", B"11100101", B"11101010",
3054
 B"00110101", B"00000011", B"11001001", B"11100011", B"00011101",
3055
 B"00011010", B"00001010", B"11100100", B"00011111", B"11010011",
3056
 B"00011001", B"11101111", B"00100011", B"11000101", B"11011110",
3057
 B"11101010", B"00101011", B"00010100", B"11011111", B"00110010",
3058
 B"00011000", B"00110000", B"00110100", B"00100000", B"00100100",
3059
 B"00111100", B"00001011", B"00011101", B"00001000", B"11110001",
3060
 B"11001010", B"00100111", B"00010001", B"11011001", B"11101110",
3061
 B"00001011", B"11011111", B"00010011", B"00010010", B"11000100",
3062
 B"00001110", B"00111010", B"11010111", B"11001111", B"00101010",
3063
 B"00101110", B"11101010", B"11011100", B"11111100", B"11100010",
3064
 B"11101011", B"00100101", B"11011111", B"11100010", B"00011110",
3065
 B"11100101", B"11011111", B"11010001", B"11101001", B"00101100",
3066
 B"00011010", B"11101101", B"00110101", B"00111011", B"00011010",
3067
 B"11010011", B"00011011", B"11010001", B"00111101", B"00001111",
3068
 B"00100111", B"11001010", B"00100000", B"11011111", B"00101100",
3069
 B"00110001", B"00001100", B"00011110", B"00000011", B"00100101",
3070
 B"11101100", B"00001100", B"11000100", B"11100010", B"11101111",
3071
 B"00101010", B"11001011", B"00100100", B"11001010", B"11001111",
3072
 B"00101010", B"11101010", B"11010110", B"00110111", B"11001100",
3073
 B"00010101", B"11100110", B"11100111", B"00100110", B"11000111",
3074
 B"00100111", B"11011011", B"01001110", B"11100010", B"11101001",
3075
 B"00100010", B"00010111", B"00101111", B"11110000", B"00100110",
3076
 B"11101000", B"00011000", B"11011011", B"00110100", B"11011011",
3077
 B"11100111", B"11100110", B"00100001", B"00100111", B"00110001",
3078
 B"00110010", B"11000011", B"11011011", B"00110010", B"00100110",
3079
 B"00010011", B"00001110", B"00100011", B"00110111", B"11110010",
3080
 B"00011010", B"11100010", B"11001000", B"00110011", B"11011110",
3081
 B"00110000", B"11000011", B"00000010", B"00100001", B"00010100",
3082
 B"00011110", B"11101011", B"00011111", B"00111111", B"10110101",
3083
 B"11110011", B"00011000", B"11110110", B"11010000", B"11111010",
3084
 B"11100010", B"00110101", B"00100110", B"00110010", B"00110111",
3085
 B"11001101", B"00100110", B"00010000", B"11100100", B"11010011",
3086
 B"11101010", B"11000101", B"11001001", B"11001011", B"11111001",
3087
 B"00011010", B"00110010", B"11001001", B"00001101", B"11011000",
3088
 B"00001101", B"00100111", B"11101100", B"00011000", B"01000011",
3089
 B"11110001", B"00110000", B"00010110", B"11101111", B"11010101",
3090
 B"11101001", B"11010110", B"11001001", B"00110011", B"00100001",
3091
 B"00000001", B"00011111", B"00100110", B"11011010", B"11101100",
3092
 B"11010100", B"11011100", B"00100000", B"11110010", B"00011011",
3093
 B"11010101", B"00101010", B"11101100", B"11100101", B"11101000",
3094
 B"00010110", B"00100011", B"11101001", B"00101100", B"11010111",
3095
 B"11011110", B"00010101", B"11000011", B"11010010", B"11001011",
3096
 B"11011011", B"00011100", B"00111011", B"00101100", B"00111001",
3097
 B"00110111", B"00001111", B"00011001", B"00101001", B"00110011",
3098
 B"00111010", B"00001001", B"11111001", B"00101111", B"11001111",
3099
 B"00001010", B"11010111", B"00110011", B"00010000", B"11010000",
3100
 B"00111001", B"00100000", B"11100010", B"11011110", B"00111110",
3101
 B"11011111", B"11110010", B"00010110", B"11100000", B"00010010",
3102
 B"11001011", B"10111010", B"00101001", B"00001100", B"11100010",
3103
 B"11111100", B"11101011", B"11101001", B"11010100", B"11011110",
3104
 B"11101011", B"00011010", B"11001111", B"11111001", B"00001001",
3105
 B"11011011", B"11100100", B"11100111", B"11011111", B"00011010",
3106
 B"11100010", B"00011101", B"11101010", B"11100101", B"11011010",
3107
 B"01000000", B"00011010", B"00000110", B"11101000", B"11001010",
3108
 B"11001111", B"00100000", B"00100000", B"00110101", B"00100010",
3109
 B"00101010", B"00010111", B"11011011", B"11100110", B"00001001",
3110
 B"00110110", B"00000011", B"11101110", B"11011111", B"11011010",
3111
 B"11010010", B"00100101", B"00010101", B"11100000", B"01000000",
3112
 B"11101001", B"00011001", B"11011000", B"00100010", B"11011100",
3113
 B"11010100", B"11100111", B"00101110", B"00010110", B"11101111",
3114
 B"00010101", B"11101111", B"00110010", B"11100001", B"11100101",
3115
 B"00100110", B"00010011", B"11010110", B"00001100", B"00000110",
3116
 B"00100001", B"01000111", B"00110101", B"11100000", B"11100000",
3117
 B"00000011", B"11010010", B"00010110", B"11001101", B"11010111",
3118
 B"11101111", B"00000000", B"00011111", B"11011101", B"11100001",
3119
 B"00111000", B"00011001", B"00101011", B"00101101", B"11100111",
3120
 B"11011111", B"00010011", B"11001101", B"11100010", B"00100010",
3121
 B"11011100", B"11010110", B"11001010", B"11001111", B"00000011",
3122
 B"00011000", B"11101101", B"00001110", B"00011110", B"11101100",
3123
 B"11010100", B"00000011", B"00110010", B"00110110", B"00101001",
3124
 B"11101011", B"00101101", B"11010010", B"00110100", B"11001100",
3125
 B"00000111", B"00101110", B"00110010", B"00011000", B"00101000",
3126
 B"00001101", B"00101010", B"00101000", B"11010100", B"11100101",
3127
 B"01010111", B"11011110", B"00000010", B"11001010", B"11000100",
3128
 B"00001111", B"11101110", B"00101001", B"00101101", B"11100101",
3129
 B"11011010", B"11000111", B"11000101", B"11101101", B"00110100",
3130
 B"00000100", B"00101011", B"11110001", B"00010100", B"11011001",
3131
 B"00100000", B"11101010", B"00101100", B"11011111", B"01000101",
3132
 B"00111101", B"11111100", B"00100011", B"00000110", B"11000000",
3133
 B"11100100", B"11110010", B"11101001", B"00001110", B"00010011",
3134
 B"11010011", B"00011101", B"11001000", B"11000000", B"00101101",
3135
 B"00101011", B"00011011", B"10111010", B"00011011", B"11100101",
3136
 B"00010011", B"00010111", B"11101110", B"11100001", B"00100101",
3137
 B"11100010", B"11100111", B"11101101", B"11001100", B"11001011",
3138
 B"11011101", B"00100101", B"00010010", B"00100010", B"00011010",
3139
 B"11010001", B"11011001", B"11010000", B"00100010", B"00101110",
3140
 B"00010010", B"11011101", B"00110101", B"11011111", B"00001101",
3141
 B"11111001", B"11100100", B"00011000", B"00011011", B"00011110",
3142
 B"00100011", B"11010001", B"11011111", B"00110101", B"11101101",
3143
 B"11100101", B"11100110", B"11100101", B"00110010", B"11101110",
3144
 B"00011011", B"11110011", B"00101101", B"00110000", B"11011001",
3145
 B"11101011", B"11101110", B"11011100", B"11011001", B"11010001",
3146
 B"00010101", B"01000010", B"11101000", B"11000010", B"11010010",
3147
 B"11101101", B"11011011", B"00010110", B"11100010", B"11001001",
3148
 B"00100001", B"11111101", B"00000001", B"11011011", B"11010111",
3149
 B"11100100", B"00010010", B"11100100", B"00001010", B"11101101",
3150
 B"11100110", B"00101011", B"00010110", B"00011001", B"00011111",
3151
 B"00011111", B"11011100", B"00100101", B"11000010", B"00100000",
3152
 B"11100010", B"00001110", B"11100100", B"11001110", B"00010010",
3153
 B"11011111", B"11101110", B"11011000", B"11110101", B"00111011",
3154
 B"00001011", B"00010101", B"11101001", B"00100010", B"11001111",
3155
 B"00101110", B"11100011", B"00100011", B"11001101", B"00110000",
3156
 B"11110010", B"11101000", B"11010001", B"00000110", B"00110011",
3157
 B"11011010", B"00011010", B"00100011", B"00111100", B"10110110",
3158
 B"00100010", B"11100001", B"00000100", B"00001111", B"11001111",
3159
 B"00011001", B"11100111", B"11011011", B"11011100", B"00010101",
3160
 B"00111111", B"00101111", B"11011101", B"00101001", B"11111110",
3161
 B"11011110", B"11101110", B"00110010", B"00101000", B"00001101",
3162
 B"11101010", B"10111101", B"11110100", B"11101101", B"00001111",
3163
 B"11101101", B"00010111", B"00100010", B"00100001", B"00100101",
3164
 B"00101011", B"00101111", B"00110011", B"00001100", B"00010011",
3165
 B"00110001", B"11101101", B"11110100", B"11011100", B"11001101",
3166
 B"00100111", B"11101000", B"00010010", B"11110001", B"11011111",
3167
 B"00111001", B"11001011", B"00010111", B"11011000", B"11100010",
3168
 B"00101000", B"00010110", B"00011100", B"11101011", B"00100100",
3169
 B"00100100", B"11110000", B"11110110", B"00100111", B"00101011",
3170
 B"00010101", B"00101011", B"11100011", B"00110011", B"11100110",
3171
 B"11111010", B"11100001", B"00010011", B"11010001", B"00100111",
3172
 B"00110100", B"11100000", B"00100110", B"00010111", B"11011111",
3173
 B"00100011", B"00010110", B"11000110", B"11010111", B"00100100",
3174
 B"00000111", B"11110011", B"11101011", B"11000110", B"11110000",
3175
 B"11001110", B"00001010", B"00010110", B"11100011", B"01001010",
3176
 B"00000101", B"00100001", B"11101000", B"00010011", B"00100011",
3177
 B"11011000", B"00100000", B"00110010", B"11011100", B"11011100",
3178
 B"11101101", B"11101011", B"00100110", B"00101001", B"11110110",
3179
 B"00001001", B"11000110", B"11101010", B"00100010", B"00110001",
3180
 B"00001100", B"11011100", B"00100101", B"11110011", B"00010001",
3181
 B"00011100", B"11110101", B"11011101", B"00111000", B"11001011",
3182
 B"11110101", B"11001100", B"11101011", B"11011010", B"00101011",
3183
 B"00101110", B"11010111", B"11111001", B"11100000", B"11100111",
3184
 B"11101110", B"11000111", B"11100001", B"00011000", B"11011011",
3185
 B"11111011", B"11001101", B"11000110", B"00000100", B"00101011",
3186
 B"11010111", B"11111100", B"00101111", B"11100101", B"00011010",
3187
 B"00001001", B"11110010", B"00100000", B"11101010", B"11001110",
3188
 B"11010000", B"11100001", B"00100101", B"11100000", B"00101011",
3189
 B"11101111", B"00101001", B"00000000", B"00101010", B"11101001",
3190
 B"01000001", B"11101001", B"00011011", B"11000011", B"00011000",
3191
 B"11011001", B"11010111", B"11000100", B"00011111", B"00010011",
3192
 B"11100010", B"00010000", B"00011010", B"11001101", B"00110000",
3193
 B"00101000", B"11101011", B"11011111", B"00110110", B"00010111",
3194
 B"11101010", B"11111100", B"11100001", B"11010000", B"00010000",
3195
 B"10111001", B"01000110", B"00100011", B"00100101", B"11101001",
3196
 B"00001111", B"00011101", B"11100110", B"11001110", B"00101000",
3197
 B"11101110", B"00101000", B"00101101", B"00010101", B"11100011",
3198
 B"00101001", B"11101010", B"00010011", B"00010000", B"11011110",
3199
 B"11101011", B"00100000", B"11000011", B"11101111", B"11110001",
3200
 B"11010100", B"00101100", B"00101000", B"00010101", B"00101100",
3201
 B"00110101", B"00101001", B"00101100", B"00011001", B"11001011",
3202
 B"00000011", B"00100010", B"00100000", B"11010001", B"00011101",
3203
 B"11111110", B"00110011", B"00000010", B"11100001", B"01001111",
3204
 B"00000101", B"11100000", B"11001011", B"00011010", B"00111101",
3205
 B"11101011", B"11010111", B"00011111", B"11001111", B"00011110",
3206
 B"11011010", B"11000000", B"00110011", B"00111001", B"00001110",
3207
 B"11101111", B"00101100", B"00101111", B"11101010", B"11110011",
3208
 B"00010101", B"11100010", B"11111010", B"00010011", B"00001111",
3209
 B"00110010", B"00001000", B"11100000", B"00000011", B"11011111",
3210
 B"00011101", B"11010110", B"00100111", B"11001101", B"10111000",
3211
 B"00101111", B"00010001", B"00011001", B"00101010", B"00101100",
3212
 B"00111010", B"00011000", B"00010100", B"00010101", B"00100101",
3213
 B"11010001", B"11011100", B"11001101", B"11011111", B"00011011",
3214
 B"00010100", B"11110000", B"00001000", B"11010101", B"00110101",
3215
 B"00111101", B"11011000", B"11111001", B"11001010", B"11011101",
3216
 B"11001000", B"00100011", B"00100010", B"00011010", B"00101000",
3217
 B"00011100", B"00110000", B"00010110", B"00101000", B"11100001",
3218
 B"00010101", B"00010010", B"11011101", B"11101110", B"11110101",
3219
 B"00001011", B"11110101", B"00100101", B"11110100", B"00010101",
3220
 B"11100001", B"11010100", B"11010111", B"00001011", B"00110010",
3221
 B"00010001", B"11101001", B"11011110", B"11000110", B"11011001",
3222
 B"00110000", B"11101110", B"00000110", B"11011110", B"00010011",
3223
 B"00110011", B"00100101", B"11011101", B"00000101", B"11110111",
3224
 B"00001101", B"11100100", B"11111001", B"11101111", B"00011011",
3225
 B"00101001", B"00001111", B"11011001", B"10111011", B"00101000",
3226
 B"00010011", B"11110000", B"00111111", B"00001111", B"11100001",
3227
 B"11001010", B"00100001", B"00001110", B"00010100", B"00010101",
3228
 B"11001001", B"00100111", B"10110111", B"00100000", B"11001111",
3229
 B"00010011", B"00010001", B"11010110", B"00011010", B"00110000",
3230
 B"11010100", B"11011101", B"00001101", B"11000100", B"00101101",
3231
 B"11100010", B"11111011", B"11110000", B"00101010", B"00010010",
3232
 B"10111110", B"11100000", B"00101000", B"00100010", B"00100011",
3233
 B"11110001", B"00000011", B"10111110", B"00001010", B"00010011",
3234
 B"00011101", B"11101000", B"00010001", B"00100111", B"11100001",
3235
 B"00000101", B"00011011", B"00111000", B"00011011", B"00001011",
3236
 B"00011010", B"00100011", B"00010111", B"00011011", B"00101101",
3237
 B"00101000", B"11010100", B"00101101", B"00111000", B"11111001",
3238
 B"00001111", B"00101010", B"11100010", B"00001010", B"00010111",
3239
 B"11010110", B"00011100", B"00100111", B"11111001", B"11101010",
3240
 B"00010011", B"00010111", B"00110101", B"00010010", B"11100010",
3241
 B"00110001", B"11011101", B"00100000", B"11111110", B"00001101",
3242
 B"11011101", B"00101100", B"00101000", B"11011010", B"00011010",
3243
 B"00010111", B"11110001", B"11100100", B"00011011", B"11110101",
3244
 B"11011011", B"11100100", B"00110010", B"00010111", B"11011100",
3245
 B"00100001", B"00010100", B"00101110", B"11101000", B"00110100",
3246
 B"11010100", B"00010010", B"11010100", B"11011000", B"11110111",
3247
 B"00100100", B"00110111", B"00010000", B"00011000", B"11011110",
3248
 B"11100101", B"11100110", B"11000110", B"00101001", B"00010001",
3249
 B"00100100", B"00001110", B"11100011", B"11011001", B"00001000",
3250
 B"11100101", B"11010101", B"00101001", B"11010100", B"11010000",
3251
 B"11011111", B"11001111", B"11010001", B"00100011", B"00000000",
3252
 B"11000110", B"11101011", B"11100011", B"11011011", B"11101000",
3253
 B"00100101", B"11010111", B"00101111", B"00010010", B"11101011",
3254
 B"00100010", B"00110010", B"11010100", B"00101000", B"11101000",
3255
 B"00011000", B"11100110", B"11001010", B"11101000", B"00100010",
3256
 B"00001100", B"11111000", B"11010111", B"11101001", B"00100001",
3257
 B"00000011", B"11111010", B"00101100", B"11001111", B"11011110",
3258
 B"00101011", B"11010010", B"00001000", B"11110100", B"11100000",
3259
 B"00010000", B"00100100", B"00100010", B"00100010", B"00100011",
3260
 B"11011101", B"00100100", B"11010011", B"00100101", B"11011100",
3261
 B"00001101", B"11011010", B"11011000", B"00100100", B"11010111",
3262
 B"11010111", B"11001110", B"11101110", B"00000100", B"11001000",
3263
 B"00001111", B"00000001", B"11110101", B"00011001", B"00110100",
3264
 B"11110011", B"11110010", B"11011010", B"11100001", B"00011010",
3265
 B"00000000", B"11010001", B"00101000", B"11011110", B"00001010",
3266
 B"11100010", B"11100111", B"11110101", B"11101101", B"00011000",
3267
 B"11011111", B"00001011", B"11100100", B"11011110", B"11000101",
3268
 B"11010111", B"00111110", B"01000010", B"00100101", B"00101001",
3269
 B"11101011", B"11011101", B"11101110", B"11111111", B"00011111",
3270
 B"11101011", B"00110000", B"11100010", B"00110011", B"00001001",
3271
 B"00011000", B"11100011", B"00011001", B"11101001", B"00100110",
3272
 B"11011000", B"11100011", B"11011110", B"00001100", B"00101111",
3273
 B"11110011", B"00100010", B"11010011", B"11010011", B"00011010",
3274
 B"11010111", B"00011100", B"11101001", B"11010100", B"10111110",
3275
 B"00011011", B"00110100", B"00100111", B"00010000", B"00101101",
3276
 B"00111000", B"00001011", B"00011100", B"00100101", B"00111000",
3277
 B"11100001", B"11010001", B"00100100", B"00100100", B"00001101",
3278
 B"00111011", B"11111001", B"11100010", B"00011110", B"00100111",
3279
 B"11011010", B"11101001", B"00011011", B"00101000", B"11101001",
3280
 B"11110001", B"11110001", B"00000110", B"00000110", B"11001101",
3281
 B"11001111", B"11101111", B"11101110", B"11100000", B"00100111",
3282
 B"11001110", B"00011001", B"11101100", B"11011101", B"11110001",
3283
 B"00101001", B"00011001", B"00001110", B"11110000", B"00110011",
3284
 B"11011110", B"11011000", B"11101000", B"00000000", B"00110001",
3285
 B"11101010", B"11011000", B"00100001", B"00100001", B"00101101",
3286
 B"00110111", B"11110110", B"11001011", B"00110000", B"00101011",
3287
 B"00011011", B"01000100", B"00101010", B"00011101", B"00100011",
3288
 B"00010110", B"01001101", B"11000111", B"00011000", B"00101110",
3289
 B"11010101", B"00001011", B"00010000", B"11101000", B"11101000",
3290
 B"00011001", B"11100110", B"11100110", B"11010011", B"00101101",
3291
 B"00100010", B"11100000", B"11011111", B"00001111", B"11101000",
3292
 B"11100111", B"11101111", B"00011101", B"00011010", B"11111100",
3293
 B"00111110", B"01000010", B"00000010", B"11010011", B"00100100",
3294
 B"11011001", B"00011001", B"11100011", B"11011011", B"00101000",
3295
 B"11111000", B"11011101", B"11011111", B"00100000", B"00110000",
3296
 B"11011101", B"11100010", B"00100010", B"00110000", B"11101010",
3297
 B"11100111", B"11100110", B"11100000", B"11100001", B"11000010",
3298
 B"11100000", B"11001001", B"11001000", B"00011110", B"00100110",
3299
 B"00110010", B"00011000", B"00100011", B"11100000", B"00000100",
3300
 B"00011101", B"11110100", B"00100110", B"00011111", B"11101101",
3301
 B"11101100", B"00011101", B"00011000", B"11100101", B"11111001",
3302
 B"11100000", B"11011101", B"11101001", B"00011011", B"00011010",
3303
 B"00001110", B"11100011", B"00001011", B"00100010", B"11100010",
3304
 B"11100000", B"00111010", B"00001000", B"00101110", B"00010000",
3305
 B"00100100", B"00011111", B"00001101", B"00011111", B"11001100",
3306
 B"00011110", B"11100000", B"00011111", B"11011011", B"00000111",
3307
 B"00010000", B"00100000", B"11101000", B"00101011", B"00101101",
3308
 B"00010110", B"11001101", B"00101000", B"11011110", B"00011100",
3309
 B"00011000", B"11010110", B"10111011", B"00101110", B"11110010",
3310
 B"11011100", B"11011100", B"11011110", B"11001100", B"00011100",
3311
 B"01000111", B"00110010", B"11011110", B"00101000", B"11101111",
3312
 B"00011000", B"00110011", B"00100100", B"00000001", B"00101011",
3313
 B"00110111", B"00100001", B"00010011", B"00101001", B"11011001",
3314
 B"11110011", B"00010000", B"11010000", B"00100101", B"11010101",
3315
 B"11011111", B"00100100", B"00100100", B"11100101", B"00011111",
3316
 B"00100110", B"11100100", B"00100101", B"00000111", B"11011001",
3317
 B"11101110", B"11100000", B"00110010", B"00110110", B"00101001",
3318
 B"00011010", B"11110001", B"11001010", B"11100010", B"11011001",
3319
 B"11101110", B"00010110", B"00110011", B"11010011", B"00011101",
3320
 B"11111110", B"00011111", B"00101000", B"00011000", B"11000111",
3321
 B"00001001", B"11110000", B"00011010", B"11101101", B"00101100",
3322
 B"11110000", B"11101101", B"11010011", B"11100111", B"00101001",
3323
 B"11011101", B"11111011", B"00010100", B"00100101", B"00010101",
3324
 B"11100100", B"00100001", B"11000011", B"00111001", B"11010010",
3325
 B"00100010", B"11000000", B"00100010", B"11101001", B"11100100",
3326
 B"11101000", B"00010011", B"00010011", B"00100000", B"00010111",
3327
 B"00100011", B"11001011", B"00101000", B"11110000", B"00100010",
3328
 B"11011000", B"00010110", B"11110000", B"00101000", B"11101101",
3329
 B"11110010", B"11100000", B"00010011", B"11111011", B"11011100",
3330
 B"00110111", B"00110101", B"00100000", B"11101010", B"00011100",
3331
 B"11111101", B"00100001", B"11001101", B"11111111", B"11001111",
3332
 B"11010111", B"00101001", B"00011001", B"00100111", B"00101000",
3333
 B"11100101", B"00011101", B"00110111", B"00100000", B"11100111",
3334
 B"00100101", B"11001110", B"01001000", B"00010010", B"11101010",
3335
 B"11110001", B"11101111", B"11011101", B"00100000", B"11100000",
3336
 B"00100100", B"11110110", B"11100001", B"00011011", B"00100001",
3337
 B"00011011", B"00010001", B"11010001", B"11011110", B"00001001",
3338
 B"11010101", B"11011011", B"11001110", B"11011100", B"00100000",
3339
 B"11110000", B"00011110", B"11010101", B"00101010", B"11011100",
3340
 B"00011100", B"11101010", B"11001111", B"00100101", B"00000100",
3341
 B"00011101", B"00011101", B"11111010", B"11101100", B"00110101",
3342
 B"00001101", B"11010101", B"11100011", B"11111110", B"00001101",
3343
 B"11011100", B"00100000", B"00001000", B"11011011", B"11100011",
3344
 B"00110000", B"00001000", B"11110110", B"00101001", B"11110110",
3345
 B"00100000", B"11011111", B"11100001", B"00011100", B"00111110",
3346
 B"00010101", B"11110111", B"11011111", B"00010010", B"00011111",
3347
 B"11111001", B"11110001", B"11111000", B"00100101", B"11100100",
3348
 B"11010101", B"11011000", B"00110110", B"00101000", B"11001010",
3349
 B"00100001", B"11100001", B"00001101", B"00110011", B"11100100",
3350
 B"00101001", B"01000111", B"11010111", B"11100010", B"11010101",
3351
 B"11111000", B"11101001", B"00100010", B"00101000", B"00110101",
3352
 B"00100110", B"00010000", B"11101011", B"00110101", B"10110110",
3353
 B"00011000", B"11000000", B"11001100", B"00111011", B"11010001",
3354
 B"11110101", B"00001101", B"00001001", B"00011010", B"00011000",
3355
 B"11100001", B"11100011", B"11011111", B"00111100", B"00010110",
3356
 B"00101000", B"11111110", B"00101011", B"11011100", B"00100111",
3357
 B"00100010", B"11010110", B"11111111", B"00100111", B"11011011",
3358
 B"00100100", B"00010011", B"11101001", B"11100100", B"00111000",
3359
 B"00101011", B"11111001", B"11010100", B"11100101", B"11011111",
3360
 B"11010001", B"00011110", B"00001101", B"11110101", B"11100111",
3361
 B"00011100", B"00011000", B"11011000", B"11100000", B"11010101",
3362
 B"11011010", B"11010001", B"00011010", B"00011010", B"11100110",
3363
 B"00010111", B"11110010", B"00100010", B"10111100", B"00100011",
3364
 B"11011111", B"11011000", B"11011011", B"00001100", B"00011011",
3365
 B"00011101", B"11100111", B"00001001", B"11001100", B"11100010",
3366
 B"11111101", B"00111110", B"00001100", B"11000010", B"10111001",
3367
 B"00100001", B"00011100", B"00110101", B"00011001", B"11011010",
3368
 B"00000011", B"11011011", B"11000101", B"11011111", B"11100011",
3369
 B"00101011", B"00110011", B"00101110", B"00111111", B"11111000",
3370
 B"11011111", B"00011110", B"00011101", B"00011100", B"00100101",
3371
 B"11000101", B"11101110", B"11100000", B"11101101", B"00011101",
3372
 B"00100000", B"00011010", B"00100000", B"11101001", B"11001110",
3373
 B"00100010", B"00010011", B"00010111", B"11001111", B"00011100",
3374
 B"11011101", B"11111001", B"11010010", B"11110000", B"11101000",
3375
 B"11101011", B"00011110", B"00001101", B"11101101", B"00110110",
3376
 B"11101100", B"11101001", B"00101001", B"00001110", B"00000111",
3377
 B"11011000", B"00011101", B"11100111", B"00100010", B"00001100",
3378
 B"11010010", B"00001100", B"11110100", B"11100010", B"11100110",
3379
 B"00100101", B"00101011", B"11101110", B"11011101", B"11110001",
3380
 B"11001011", B"00100010", B"00001111", B"00111001", B"00010101",
3381
 B"00110011", B"11110101", B"00100001", B"11100111", B"11100001",
3382
 B"11011010", B"00011000", B"00010110", B"11010111", B"00100010",
3383
 B"11011011", B"11001101", B"11001011", B"00010110", B"00110000",
3384
 B"11000100", B"11100100", B"11010001", B"00011100", B"11011110",
3385
 B"00100101", B"11101000", B"11000010", B"00011000", B"11011111",
3386
 B"00100010", B"00001100", B"11100011", B"11010100", B"11100000",
3387
 B"11100011", B"11101100", B"11011011", B"00011101", B"11011111",
3388
 B"10110111", B"11011011", B"00100000", B"00100000", B"11101000",
3389
 B"11100101", B"11100111", B"11010100", B"11101010", B"00010000",
3390
 B"00101101", B"01000011", B"00011111", B"00010111", B"00100011",
3391
 B"00011011", B"11100101", B"00101010", B"11001110", B"00010100",
3392
 B"11110011", B"00001111", B"10111100", B"00110000", B"11010111",
3393
 B"11011110", B"11101011", B"00110000", B"00010111", B"00010100",
3394
 B"00111011", B"00010101", B"11010001", B"00100100", B"11111100",
3395
 B"00100101", B"11000100", B"11110100", B"00000011", B"00010110",
3396
 B"00100100", B"11010011", B"00000101", B"11100110", B"00010110",
3397
 B"11100001", B"11111001", B"00110100", B"00100111", B"00010011",
3398
 B"00110111", B"11011101", B"11101111", B"00110110", B"11001010",
3399
 B"11101100", B"00111101", B"11001110", B"11110011", B"11101010",
3400
 B"11010001", B"11011100", B"00100110", B"11011100", B"00111111",
3401
 B"11100100", B"11100100", B"00111000", B"00100101", B"11001110",
3402
 B"00100011", B"00010010", B"00011100", B"11001110", B"00100010",
3403
 B"00000110", B"00010110", B"00000010", B"00100011", B"11011001",
3404
 B"11110110", B"00010110", B"00110100", B"11011001", B"11100001",
3405
 B"11010001", B"11110000", B"11011000", B"11100101", B"00100110",
3406
 B"00001010", B"00100110", B"00101100", B"00101111", B"00011011",
3407
 B"11001010", B"11100011", B"00101100", B"00010010", B"11100100",
3408
 B"11100011", B"11110001", B"11010111", B"00011001", B"00101110",
3409
 B"00010100", B"00101001", B"11011011", B"11000111", B"11100000",
3410
 B"11011011", B"00011010", B"11011010", B"00010010", B"11010110",
3411
 B"11011001", B"00101000", B"11101000", B"11010111", B"00101110",
3412
 B"11100111", B"00111001", B"11101001", B"11010111", B"00011010",
3413
 B"10111101", B"00011100", B"11000111", B"11100000", B"11100010",
3414
 B"00100011", B"00110010", B"11110000", B"11111000", B"00100011",
3415
 B"00010001", B"00100000", B"00010100", B"00000100", B"00100011",
3416
 B"00101001", B"00111001", B"00110000", B"11101011", B"11100110",
3417
 B"00101110", B"00101110", B"11111100", B"11011101", B"11011100",
3418
 B"00011101", B"00100101", B"00011101", B"11011101", B"00011110",
3419
 B"11100011", B"00111001", B"00011110", B"00100001", B"00010000",
3420
 B"11111010", B"00100001", B"11100001", B"00010010", B"11101011",
3421
 B"00000101", B"00100111", B"11010100", B"11101101", B"00101111",
3422
 B"00101011", B"11010011", B"11110000", B"11100111", B"00100100",
3423
 B"11010110", B"00100111", B"11101010", B"11100111", B"00010100",
3424
 B"00100010", B"11001111", B"00110010", B"00110110", B"00110100",
3425
 B"11100001", B"00111000", B"11110011", B"11111100", B"01010000",
3426
 B"00100111", B"00011010", B"00010101", B"00010011", B"00100100",
3427
 B"00001110", B"00110100", B"00101111", B"00100101", B"00000111",
3428
 B"00110001", B"00101000", B"00010101", B"00100101", B"00011101",
3429
 B"00010010", B"11001011", B"11100010", B"11011000", B"11011011",
3430
 B"00000100", B"11101001", B"00010110", B"11100001", B"11101000",
3431
 B"00000110", B"11100001", B"00010111", B"11100000", B"11100101",
3432
 B"00110100", B"11001001", B"11011010", B"00100111", B"00100111",
3433
 B"00111001", B"00111000", B"11011010", B"11000001", B"10111111",
3434
 B"11011001", B"11100001", B"00010101", B"00101000", B"11100011",
3435
 B"00010011", B"11101111", B"11101101", B"11011010", B"11101000",
3436
 B"11101001", B"00011111", B"00100111", B"00011110", B"00110100",
3437
 B"00010000", B"00100010", B"11100001", B"00101101", B"00101011",
3438
 B"11011001", B"11100110", B"00111010", B"00111000", B"11001100",
3439
 B"11111001", B"00001001", B"11001001", B"00001101", B"00101000",
3440
 B"11101111", B"11010000", B"11100111", B"00101010", B"11010100",
3441
 B"00101110", B"11011010", B"11001010", B"00100110", B"11011111",
3442
 B"00111000", B"00001111", B"00011110", B"11100100", B"00010001",
3443
 B"11100011", B"00010011", B"11110101", B"00001010", B"11010111",
3444
 B"00010110", B"11100101", B"11011010", B"00010011", B"00100011",
3445
 B"11011000", B"00001110", B"11110101", B"11011111", B"11110001",
3446
 B"00110001", B"00110111", B"11011100", B"11101011", B"00101011",
3447
 B"00110100", B"11100010", B"11100011", B"11100110", B"11101111",
3448
 B"11010011", B"00101100", B"00100000", B"11101001", B"00011001",
3449
 B"01000000", B"11010110", B"11100001", B"00011100", B"11001110",
3450
 B"11011100", B"11011111", B"11011011", B"00100110", B"00011110",
3451
 B"00100111", B"00010100", B"11101111", B"00011010", B"11011010",
3452
 B"11101100", B"11101001", B"00101111", B"00100111", B"11110010",
3453
 B"00011101", B"11100111", B"11011011", B"11011111", B"11101000",
3454
 B"00101001", B"11000000", B"00001001", B"11110100", B"00110111",
3455
 B"11010110", B"00011000", B"11011110", B"11100101", B"00011010",
3456
 B"00111111", B"11100001", B"00011011", B"00100000", B"11101001",
3457
 B"11011111", B"11000010", B"11100000", B"11001010", B"11011011",
3458
 B"11101100", B"11101000", B"00101001", B"00011110", B"11010111",
3459
 B"00010001", B"11001111", B"11100100", B"11010110", B"11100111",
3460
 B"00101000", B"00110010", B"11011001", B"00011111", B"11101101",
3461
 B"00100011", B"11001101", B"11011110", B"00001001", B"11011011",
3462
 B"11001110", B"11001111", B"10111001", B"11110010", B"11010111",
3463
 B"00101000", B"11011011", B"00011001", B"11101010", B"11100100",
3464
 B"00010111", B"11110000", B"00011010", B"00010011", B"11001001",
3465
 B"11110010", B"11110100", B"11100011", B"11010111", B"00101011",
3466
 B"11011000", B"00100001", B"11100101", B"11001001", B"11011111",
3467
 B"00110111", B"00101001", B"00010000", B"11010111", B"00010011",
3468
 B"11011000", B"11010010", B"11110010", B"00100111", B"00101100",
3469
 B"11101101", B"11100010", B"00010110", B"11101010", B"00100110",
3470
 B"11011101", B"11010010", B"00101000", B"00000011", B"00010100",
3471
 B"00011011", B"11101111", B"11110110", B"11010101", B"11010100",
3472
 B"11100001", B"00111001", B"11100110", B"00011011", B"11100111",
3473
 B"11101111", B"11110001", B"00010011", B"00001110", B"00011101",
3474
 B"00001011", B"11110100", B"11010000", B"00101000", B"00101110",
3475
 B"11011000", B"11101111", B"11110100", B"00001110", B"00010000",
3476
 B"11010111", B"11100101", B"00100101", B"11101010", B"11101001",
3477
 B"00100100", B"11101101", B"00110000", B"11110011", B"11100000",
3478
 B"11100111", B"00100110", B"00010000", B"00010001", B"11000001",
3479
 B"11001010", B"00010001", B"11101101", B"11101000", B"11110010",
3480
 B"11100111", B"11101110", B"11010110", B"11010001", B"11101100",
3481
 B"00011100", B"00011111", B"00011110", B"00010001", B"11101100",
3482
 B"11011110", B"00100101", B"11110111", B"00100010", B"11101010",
3483
 B"11010000", B"00110100", B"00010001", B"11111001", B"00001110",
3484
 B"00000100", B"11100000", B"00000110", B"00011011", B"11010111",
3485
 B"11110111", B"11011110", B"11011010", B"10111110", B"00000100",
3486
 B"00110001", B"00101101", B"01001100", B"11101110", B"00100100",
3487
 B"11101100", B"11100111", B"11010010", B"00011110", B"00100001",
3488
 B"11110000", B"11100000", B"00110000", B"00100010", B"11010110",
3489
 B"11010010", B"11101110", B"11110101", B"11010001", B"11110101",
3490
 B"00101100", B"00101011", B"10110000", B"11101010", B"00000001",
3491
 B"11100011", B"11101010", B"00010111", B"11010110", B"00001000",
3492
 B"11110100", B"11001110", B"00101011", B"11100010", B"00000011",
3493
 B"11011001", B"00101001", B"00000011", B"00100000", B"11001101",
3494
 B"10111110", B"00100101", B"00111011", B"00011111", B"11110000",
3495
 B"00101110", B"11100001", B"11100111", B"11011111", B"00100101",
3496
 B"00100110", B"00011101", B"00101101", B"11000001", B"00001110",
3497
 B"00100101", B"11101001", B"11110011", B"00011010", B"11010101",
3498
 B"00001010", B"00000101", B"00100011", B"11101101", B"00011101",
3499
 B"11011111", B"11111100", B"11010011", B"00100011", B"11010101",
3500
 B"11001111", B"11100010", B"00100111", B"00100001", B"11101011",
3501
 B"00100101", B"11100110", B"00011101", B"00010001", B"11010011",
3502
 B"00110000", B"00000100", B"11100001", B"00100000", B"11000010",
3503
 B"11011111", B"11100111", B"11011011", B"00110111", B"11010111",
3504
 B"00000100", B"00010101", B"00101010", B"11110101", B"11101000",
3505
 B"00000000", B"00101100", B"11011011", B"11010000", B"11101000",
3506
 B"11011101", B"00000110", B"11001110", B"00110000", B"11011001",
3507
 B"11010110", B"00000100", B"11010001", B"00000011", B"11010100",
3508
 B"11111111", B"00100110", B"00000111", B"00101101", B"11111011",
3509
 B"00100111", B"00011101", B"00010101", B"11011101", B"00000111",
3510
 B"11010111", B"00100110", B"11101001", B"00001111", B"11000001",
3511
 B"00010000", B"11100010", B"11100101", B"10110110", B"00101110",
3512
 B"00100010", B"00001111", B"00011100", B"11010111", B"11010011",
3513
 B"00111011", B"00100011", B"11000000", B"11101100", B"11100110",
3514
 B"11110100", B"00100111", B"00011100", B"11011000", B"00010001",
3515
 B"11101110", B"00010010", B"11101111", B"11010111", B"00011010",
3516
 B"11110000", B"00010101", B"11101100", B"11010001", B"00011101",
3517
 B"00001111", B"00100010", B"00110011", B"11100110", B"00010010",
3518
 B"11100010", B"00101100", B"11010101", B"00100100", B"00100110",
3519
 B"00001001", B"00101000", B"00100000", B"00011110", B"00100001",
3520
 B"00101101", B"00110110", B"11010000", B"11100000", B"11010010",
3521
 B"11100011", B"00100100", B"11011010", B"00100101", B"11100010",
3522
 B"00001101", B"11101110", B"00000110", B"11100100", B"11100100",
3523
 B"01000000", B"00000101", B"11100001", B"00101001", B"00011110",
3524
 B"00011001", B"11010100", B"00100110", B"11100001", B"00001111",
3525
 B"00010111", B"00100111", B"11001101", B"00100011", B"01001101",
3526
 B"11101010", B"11100010", B"00011010", B"00011101", B"00101000",
3527
 B"00100101", B"00010011", B"00101001", B"00111001", B"00101010",
3528
 B"00000010", B"00101101", B"00001001", B"11010000", B"11000100",
3529
 B"00100110", B"00101010", B"11010010", B"11110110", B"00001010",
3530
 B"11100101", B"00011000", B"00010111", B"11101001", B"00101100",
3531
 B"00010111", B"11001111", B"00001011", B"11100100", B"00110000",
3532
 B"00011111", B"11011010", B"00101001", B"00100001", B"11100001",
3533
 B"00111010", B"00100111", B"00110101", B"00100011", B"11111110",
3534
 B"00101101", B"00010111", B"00011110", B"00110011", B"11001000",
3535
 B"11101001", B"11001110", B"11100000", B"00110001", B"11011111",
3536
 B"00100101", B"11101101", B"00010111", B"00001101", B"00101011",
3537
 B"11101001", B"00001111", B"11110010", B"00001101", B"00011100",
3538
 B"11011111", B"00011011", B"11100100", B"11010001", B"11011100",
3539
 B"00010111", B"00010011", B"11001110", B"00110001", B"00011101",
3540
 B"11011111", B"11100011", B"11100111", B"11010111", B"11101011",
3541
 B"11110010", B"11101100", B"11010111", B"11101001", B"00110110",
3542
 B"00010000", B"00001110", B"00011110", B"11001111", B"11100011",
3543
 B"11110101", B"00101100", B"00100001", B"11100100", B"00010001",
3544
 B"11010000", B"00010001", B"00000111", B"11100000", B"00110100",
3545
 B"00001001", B"11010111", B"11010011", B"00110101", B"00011111",
3546
 B"00010100", B"11011100", B"00011010", B"00011100", B"11000010",
3547
 B"11101110", B"01001001", B"00110000", B"00001011", B"00011000",
3548
 B"00011001", B"00011111", B"00111101", B"00010001", B"00011100",
3549
 B"11000100", B"11101000", B"00110011", B"00101001", B"00100001",
3550
 B"00100111", B"11010001", B"11100000", B"00100010", B"00101111",
3551
 B"11001101", B"00010110", B"00101011", B"11100011", B"11001000",
3552
 B"00000001", B"00101011", B"11000101", B"11001000", B"11010001",
3553
 B"11011111", B"00100101", B"11100010", B"00101100", B"00111111",
3554
 B"01000000", B"00100001", B"00101001", B"01000111", B"00010100",
3555
 B"00000100", B"00010101", B"11011110", B"00000000", B"11101011",
3556
 B"11101000", B"11101010", B"00010000", B"00011111", B"11010010",
3557
 B"11100101", B"11011010", B"11010001", B"00101110", B"00011101",
3558
 B"11101101", B"00011111", B"11101001", B"11010111", B"11010000",
3559
 B"00100110", B"11110100", B"00100101", B"00000111", B"11101101",
3560
 B"00110001", B"11101001", B"11011011", B"11001001", B"00001100",
3561
 B"00000101", B"11001010", B"00100111", B"11010111", B"00100111",
3562
 B"00011000", B"00101110", B"00011110", B"00101100", B"00011010",
3563
 B"00010110", B"00101110", B"00110100", B"00010000", B"11110110",
3564
 B"00101111", B"00100001", B"11101101", B"11010001", B"00011100",
3565
 B"11000110", B"00011011", B"00011110", B"11010010", B"11111010",
3566
 B"00000101", B"11001010", B"11011010", B"00101000", B"00011011",
3567
 B"11100101", B"11100001", B"11111101", B"00100111", B"11100000",
3568
 B"11100100", B"11110110", B"11101101", B"11010111", B"11011110",
3569
 B"00011100", B"00111101", B"00011000", B"00010111", B"11101011",
3570
 B"11101111", B"00001110", B"00010111", B"00100100", B"00100001",
3571
 B"11101001", B"11011101", B"00100100", B"00111001", B"00011111",
3572
 B"00101111", B"00000010", B"00100001", B"00011110", B"00110000",
3573
 B"00100100", B"11100100", B"00000100", B"11011000", B"11000111",
3574
 B"11100011", B"00101100", B"11111001", B"11101000", B"11011111",
3575
 B"00111010", B"11001111", B"00000011", B"11100100", B"11000110",
3576
 B"01000010", B"11111010", B"00110111", B"00011101", B"11010101",
3577
 B"00010011", B"11000111", B"00011011", B"11101110", B"11100011",
3578
 B"11001010", B"11111000", B"00011100", B"00100111", B"11100000",
3579
 B"00011001", B"11001110", B"00110101", B"00110011", B"00100000",
3580
 B"11101110", B"00100001", B"11011111", B"00100011", B"11010110",
3581
 B"11010101", B"11100000", B"00010010", B"11101001", B"00101100",
3582
 B"11110111", B"11101000", B"00001001", B"00001101", B"00011100",
3583
 B"00100100", B"00000101", B"00110010", B"00000111", B"00001010",
3584
 B"00011100", B"00011101", B"00101001", B"11011010", B"00011100",
3585
 B"00001100", B"11010010", B"11100000", B"00000001", B"00101011",
3586
 B"11010010", B"11010100", B"00011010", B"11011000", B"11100000",
3587
 B"11100101", B"11010001", B"00000100", B"00010011", B"00000011",
3588
 B"00001100", B"00000111", B"00100001", B"11111110", B"00011011",
3589
 B"00101000", B"00100011", B"11010100", B"00010011", B"00000000",
3590
 B"11101000", B"11010110", B"00101101", B"00011100", B"00001111",
3591
 B"11010001", B"11011011", B"00011001", B"11111110", B"11101000",
3592
 B"11101110", B"00011001", B"11110010", B"00111000", B"00011101",
3593
 B"11110110", B"00011101", B"00100110", B"11010111", B"11111001",
3594
 B"00011110", B"00110000", B"00011000", B"11101100", B"00110000",
3595
 B"11011010", B"00100100", B"11010100", B"00100101", B"11100000",
3596
 B"11100011", B"11101000", B"11111100", B"00011100", B"11001010",
3597
 B"00011001", B"00011001", B"00011011", B"11101000", B"00110010",
3598
 B"11101011", B"00101110", B"11010101", B"11101011", B"11001110",
3599
 B"00010011", B"11110011", B"00100100", B"11001001", B"11100111",
3600
 B"00100101", B"10111101", B"11001101", B"11010100", B"00111010",
3601
 B"00100101", B"11101000", B"00011010", B"11101000", B"11101101",
3602
 B"01000100", B"00101000", B"00101100", B"11010111", B"00011101",
3603
 B"11000001", B"00101111", B"00011100", B"00101001", B"00101001",
3604
 B"11010001", B"00111010", B"11010110", B"00001011", B"11001101",
3605
 B"00011110", B"00110000", B"11110110", B"11101000", B"00011101",
3606
 B"00001011", B"11101101", B"11101111", B"01000111", B"11110000",
3607
 B"00100110", B"00011011", B"11100010", B"00011110", B"00100000",
3608
 B"11100100", B"11010100", B"00011010", B"00111110", B"11110110",
3609
 B"11001100", B"11101010", B"11011001", B"11011011", B"00011101",
3610
 B"11101001", B"00011000", B"11100100", B"11011011", B"11010110",
3611
 B"00100100", B"00100000", B"11011111", B"00101010", B"00011000",
3612
 B"11100011", B"11100011", B"11011100", B"11000100", B"11101000",
3613
 B"00011001", B"00010001", B"11110000", B"00001010", B"00101000",
3614
 B"11110001", B"11010111", B"00010101", B"11110010", B"00011110",
3615
 B"11011010", B"00011000", B"11101010", B"11100010", B"00010000",
3616
 B"00111000", B"00100101", B"01000000", B"00000110", B"11011010",
3617
 B"00110001", B"11011001", B"00101001", B"11011101", B"00010101",
3618
 B"00100010", B"00101111", B"00100000", B"00100110", B"00110111",
3619
 B"00100101", B"00001111", B"11010111", B"00011110", B"11010010",
3620
 B"00011011", B"00000010", B"11110110", B"00101001", B"00100011",
3621
 B"11100111", B"11011001", B"00000011", B"00110100", B"01000000",
3622
 B"11100111", B"00110101", B"11100010", B"00110001", B"11011000",
3623
 B"11101111", B"11110110", B"11101000", B"00100000", B"11111111",
3624
 B"00011110", B"11110101", B"11011011", B"00010010", B"11100000",
3625
 B"00110000", B"11100010", B"11011101", B"00011011", B"11110100",
3626
 B"11110010", B"11100000", B"11011110", B"00000100", B"00011111",
3627
 B"00011001", B"00011000", B"11110010", B"11010010", B"11100001",
3628
 B"11000101", B"00010000", B"11111011", B"00001000", B"01001011",
3629
 B"00110000", B"11011111", B"00100001", B"00101011", B"11110101",
3630
 B"00101001", B"00100100", B"11111000", B"00001001", B"11110101",
3631
 B"11111001", B"11001101", B"00110001", B"00100101", B"00010101",
3632
 B"00101011", B"11010111", B"11011111", B"00110111", B"11010011",
3633
 B"00010011", B"11100010", B"11101001", B"00100001", B"11100111",
3634
 B"11111110", B"11110100", B"00011110", B"00101100", B"11010110",
3635
 B"00101010", B"11100010", B"00001110", B"11010010", B"00001100",
3636
 B"11010101", B"11011100", B"11011101", B"00010010", B"00100011",
3637
 B"00100100", B"11100111", B"11001001", B"11011011", B"11100110",
3638
 B"00011101", B"11100110", B"00011000", B"00100011", B"00011110",
3639
 B"11010101", B"11100001", B"00010100", B"00010101", B"11111110",
3640
 B"11110000", B"11011011", B"11010101", B"00100111", B"11000010",
3641
 B"00011000", B"11100100", B"11011111", B"00101010", B"11011100",
3642
 B"11111000", B"00100101", B"00101011", B"00100010", B"00111100",
3643
 B"11010110", B"00000101", B"11001100", B"00011010", B"11100110",
3644
 B"11011100", B"11101101", B"00001101", B"00010110", B"11101110",
3645
 B"11011110", B"11010100", B"10111100", B"00100110", B"00110100",
3646
 B"10111110", B"00101000", B"11111001", B"11100010", B"11111001",
3647
 B"11111010", B"00001100", B"00110001", B"11100000", B"00011000",
3648
 B"11100111", B"00101100", B"00011100", B"00011111", B"11011101",
3649
 B"00011110", B"11000000", B"00101101", B"11001001", B"00011111",
3650
 B"11010101", B"00111101", B"11011010", B"11011011", B"11011101",
3651
 B"00100010", B"11110111", B"11011100", B"11010000", B"11001010",
3652
 B"00011010", B"00111110", B"11010000", B"00101010", B"11100101",
3653
 B"11010001", B"00011011", B"00101111", B"00101111", B"11101100",
3654
 B"00011000", B"11100000", B"00010100", B"00011000", B"11011100",
3655
 B"11001111", B"11011110", B"11010011", B"00010111", B"11100000",
3656
 B"00110010", B"00011011", B"00010011", B"11010001", B"00011110",
3657
 B"00011011", B"11110000", B"11000101", B"00101001", B"00111000",
3658
 B"11101101", B"11010101", B"00101101", B"11011100", B"11001001",
3659
 B"11101011", B"11011100", B"00001101", B"11101111", B"10110111",
3660
 B"00000101", B"11110000", B"00101100", B"11001110", B"00010110",
3661
 B"11101111", B"00011111", B"11100010", B"11011100", B"11100110",
3662
 B"00010010", B"00101101", B"11011110", B"00010010", B"11010010",
3663
 B"00001100", B"00101001", B"11011101", B"00101001", B"00011110",
3664
 B"11110101", B"11011011", B"11100101", B"11111111", B"00011100",
3665
 B"00101000", B"11001111", B"00101011", B"11110110", B"00010010",
3666
 B"00010111", B"11011110", B"00001100", B"00011001", B"11100001",
3667
 B"11010111", B"00000111", B"00011110", B"00101100", B"00001111",
3668
 B"11001010", B"00011100", B"11100001", B"00101001", B"11011100",
3669
 B"11010110", B"11100100", B"11101110", B"00100000", B"00000101",
3670
 B"11001101", B"00101101", B"11011110", B"00011100", B"11100000",
3671
 B"11001011", B"00011111", B"11011001", B"11010101", B"11111111",
3672
 B"11111100", B"11100111", B"00101100", B"11001110", B"00010100",
3673
 B"11010100", B"11010000", B"00101011", B"00100011", B"00101001",
3674
 B"11101000", B"00111010", B"00000010", B"11011100", B"11110111",
3675
 B"00010000", B"00101001", B"11101010", B"00001010", B"00001100",
3676
 B"00101110", B"11100111", B"00010101", B"11101000", B"00010000",
3677
 B"00100110", B"11100111", B"11100010", B"00101011", B"00000011",
3678
 B"11011010", B"11101010", B"11111001", B"11101101", B"00010010",
3679
 B"11011001", B"00101011", B"11010010", B"11110011", B"00001011",
3680
 B"00101110", B"00101100", B"11100110", B"00100001", B"11110011",
3681
 B"11110010", B"11110000", B"00011010", B"00101011", B"11010000",
3682
 B"11100011", B"00100100", B"00010111", B"00011001", B"00110100",
3683
 B"11100001", B"11010111", B"00001101", B"01000110", B"00011000",
3684
 B"11101011", B"00011001", B"11010111", B"00010100", B"11110100",
3685
 B"11010110", B"00001011", B"00110010", B"11011101", B"11010100",
3686
 B"11011001", B"11001010", B"11101000", B"11100011", B"11001100",
3687
 B"00000001", B"00001100", B"00010010", B"11101100", B"00000000",
3688
 B"11110010", B"11010011", B"00110101", B"00011101", B"00001010",
3689
 B"11001101", B"00010110", B"11101111", B"00111010", B"11110101",
3690
 B"00100101", B"00001011", B"00110001", B"11110001", B"00111010",
3691
 B"11101111", B"00101010", B"11010000", B"11101001", B"11001111",
3692
 B"00110101", B"00011010", B"11110110", B"00110000", B"11000111",
3693
 B"00110001", B"11000010", B"01000001", B"11011101", B"11100100",
3694
 B"11110101", B"00001001", B"00100110", B"00101111", B"11110110",
3695
 B"00101010", B"01001011", B"00110100", B"00001101", B"00001101",
3696
 B"00011100", B"11100010", B"00101101", B"00101100", B"00011010",
3697
 B"11101010", B"00011110", B"11011110", B"00100101", B"11101110",
3698
 B"11100010", B"00011100", B"11100001", B"00010010", B"11110100",
3699
 B"00001010", B"00000001", B"11110110", B"11001100", B"00001100",
3700
 B"11011100", B"00011010", B"11011010", B"11111001", B"00101101",
3701
 B"00011000", B"11101000", B"00010111", B"11001001", B"11100000",
3702
 B"11011101", B"00001010", B"00100111", B"00010001", B"00010111",
3703
 B"00100111", B"11000001", B"00010111", B"11001011", B"00000011",
3704
 B"11100110", B"11001001", B"11101001", B"00111010", B"00001010",
3705
 B"00101100", B"00110000", B"11001010", B"11011001", B"11011010",
3706
 B"11000111", B"00000110", B"11011011", B"00101100", B"11111001",
3707
 B"11101010", B"00000110", B"11101010", B"11101011", B"11100100",
3708
 B"00001100", B"00111111", B"11010100", B"01001010", B"11010001",
3709
 B"00011101", B"11101011", B"00111101", B"00011110", B"11110010",
3710
 B"00100001", B"00110101", B"11110000", B"11101111", B"11010010",
3711
 B"00101101", B"11011100", B"00100110", B"11010010", B"11000100",
3712
 B"00100110", B"00010001", B"11000001", B"00011101", B"00100000",
3713
 B"11100011", B"00101000", B"00010011", B"11010010", B"11011101",
3714
 B"00011010", B"11011000", B"11010000", B"11001010", B"00011110",
3715
 B"00101001", B"11001110", B"00100111", B"00011110", B"11110010",
3716
 B"00110000", B"00000111", B"11011000", B"11100010", B"00100001",
3717
 B"11100000", B"11001010", B"11001111", B"00110011", B"00100110",
3718
 B"11011010", B"00001011", B"11010101", B"11100001", B"11110111",
3719
 B"00011011", B"00011110", B"00001001", B"00100010", B"11101111",
3720
 B"11110100", B"00110110", B"11111101", B"11101100", B"00010011",
3721
 B"00001010", B"11100001", B"11010110", B"11110111", B"11011000",
3722
 B"11111111", B"00101000", B"11011110", B"00100011", B"11100000",
3723
 B"11010010", B"00010110", B"00010011", B"00101110", B"00101001",
3724
 B"11110111", B"00010110", B"11011010", B"00011011", B"11101110",
3725
 B"00011110", B"11110101", B"11100011", B"11001110", B"11011000",
3726
 B"00101111", B"11100000", B"00110100", B"00001011", B"00011010",
3727
 B"00010110", B"11110010", B"00111101", B"11100101", B"00101001",
3728
 B"11011111", B"00110000", B"11100101", B"00110011", B"00101100",
3729
 B"11010000", B"00010111", B"00100101", B"11010000", B"00100110",
3730
 B"11100111", B"11101010", B"00100011", B"11101101", B"11010111",
3731
 B"11110101", B"11101101", B"00011101", B"11011000", B"00110001",
3732
 B"00011100", B"11011010", B"00100011", B"00010110", B"11101110",
3733
 B"00100010", B"11001011", B"11110010", B"00101001", B"11011001",
3734
 B"11010110", B"11010100", B"11011100", B"11011001", B"11101110",
3735
 B"11100110", B"00100100", B"00101111", B"11111010", B"00011001",
3736
 B"11101001", B"11010000", B"00110101", B"11010100", B"11000110",
3737
 B"11100100", B"00010011", B"00001001", B"11101110", B"11011000",
3738
 B"00100100", B"11010001", B"00010000", B"11010010", B"11011100",
3739
 B"00010111", B"00010001", B"00100111", B"11010100", B"00001110",
3740
 B"00001001", B"11000111", B"00011001", B"00010100", B"11011100",
3741
 B"11011010", B"00101011", B"11101110", B"11010011", B"11101110",
3742
 B"00110000", B"00111001", B"11011110", B"00011100", B"11011000",
3743
 B"00000111", B"00011101", B"11011000", B"10111010", B"11011010",
3744
 B"11101101", B"11110011", B"00010111", B"11000011", B"00011110",
3745
 B"11010100", B"00000110", B"00011010", B"00101110", B"00111111",
3746
 B"00011000", B"11010111", B"00010011", B"00011010", B"11011110",
3747
 B"11110011", B"00010010", B"11011011", B"11101111", B"00010110",
3748
 B"00111000", B"00010111", B"00011010", B"11100110", B"11101001",
3749
 B"11110111", B"11100001", B"11011100", B"00100010", B"00100011",
3750
 B"11101111", B"00101101", B"11001010", B"00000000", B"00100001",
3751
 B"11100100", B"11101110", B"11111001", B"00011010", B"11101010",
3752
 B"11001100", B"00100000", B"00011010", B"11101101", B"00011100",
3753
 B"00010110", B"11010110", B"11001110", B"00101000", B"00000000",
3754
 B"11000110", B"00110101", B"00011110", B"00010111", B"00100000",
3755
 B"11110001", B"11011011", B"00101000", B"11100100", B"00000111",
3756
 B"00100001", B"11101111", B"11011011", B"11001001", B"11100010",
3757
 B"00101100", B"00100000", B"11011011", B"11100010", B"00100000",
3758
 B"00001000", B"11010011", B"11010100", B"11101100", B"11101100",
3759
 B"11101010", B"00110010", B"00101100", B"11100110", B"00011111",
3760
 B"11100111", B"11100010", B"00110010", B"11100010", B"00011010",
3761
 B"11011101", B"11010111", B"00011011", B"00100011", B"00000011",
3762
 B"11100011", B"00000110", B"11100001", B"11001101", B"00110111",
3763
 B"11001110", B"00100011", B"00110001", B"11011001", B"11110000",
3764
 B"11101111", B"11011110", B"00001010", B"11111000", B"00100110",
3765
 B"00010110", B"00011001", B"11010101", B"00110100", B"00101000",
3766
 B"11101101", B"11100010", B"11111111", B"11001101", B"11100100",
3767
 B"00100011", B"11011100", B"00001110", B"11011111", B"11011011",
3768
 B"00001110", B"11011101", B"01000000", B"00010100", B"00001001",
3769
 B"11110001", B"00001111", B"11110011", B"00101111", B"11011010",
3770
 B"11111001", B"11001010", B"11011110", B"00111111", B"00000000",
3771
 B"00111010", B"00100101", B"11001111", B"00011000", B"11101000",
3772
 B"00011010", B"11001001", B"11110111", B"00011100", B"00011000",
3773
 B"11010100", B"11110100", B"00011110", B"11000110", B"00100110",
3774
 B"11010000", B"11011101", B"00011101", B"11010111", B"00110100",
3775
 B"01000000", B"11110100", B"11100000", B"11011111", B"11100110",
3776
 B"11100110", B"11100111", B"00011100", B"00110001", B"11100010",
3777
 B"00100011", B"11100010", B"11100000", B"11111111", B"00100111",
3778
 B"11100000", B"11100100", B"00010010", B"11001001", B"11000010",
3779
 B"11100110", B"11100101", B"11101001", B"00011001", B"11011111",
3780
 B"00101110", B"11001100", B"11101011", B"00010001", B"11111001",
3781
 B"00101111", B"00011011", B"11011100", B"11010011", B"00001001",
3782
 B"00100011", B"11100000", B"11110000", B"00011111", B"00011001",
3783
 B"11100001", B"00000100", B"00100111", B"11101101", B"11100100",
3784
 B"00011101", B"11010010", B"00100001", B"00011000", B"11010100",
3785
 B"11011001", B"11011100", B"11100010", B"11100011", B"00011111",
3786
 B"00010011", B"00001110", B"00011110", B"00100011", B"00011011",
3787
 B"00010100", B"00110000", B"00101000", B"11001101", B"00100000",
3788
 B"11111010", B"11100111", B"11100101", B"00011101", B"00110101",
3789
 B"11111110", B"11010101", B"00011101", B"00011010", B"11101011",
3790
 B"00100110", B"00010001", B"11101101", B"10111110", B"00010110",
3791
 B"11010101", B"00101100", B"11100100", B"11010010", B"00000110",
3792
 B"00001100", B"11101100", B"11011110", B"11110001", B"00101001",
3793
 B"00110100", B"11001100", B"00100010", B"11101000", B"11011101",
3794
 B"00101101", B"00001001", B"00000101", B"11110010", B"11100000",
3795
 B"11100011", B"11011001", B"00010000", B"11100011", B"11001010",
3796
 B"00010011", B"11011000", B"11101011", B"11011111", B"11101110",
3797
 B"11001111", B"00011001", B"11001100", B"11110111", B"11100010",
3798
 B"00110010", B"00001100", B"11011111", B"11100011", B"00111100",
3799
 B"00100010", B"00011001", B"11101001", B"00100110", B"11100110",
3800
 B"00110011", B"11011101", B"11000010", B"00111010", B"11100000",
3801
 B"00100110", B"11111011", B"11100111", B"00010101", B"11010100",
3802
 B"00011100", B"11011010", B"00101111", B"11011101", B"11011001",
3803
 B"00110101", B"11111110", B"00011111", B"00100000", B"11101011",
3804
 B"00101111", B"00011000", B"11001110", B"11011000", B"00101011",
3805
 B"11010010", B"11101111", B"11011001", B"11010110", B"00011001",
3806
 B"00011110", B"00010101", B"00110110", B"11010110", B"00101001",
3807
 B"00110010", B"00011100", B"11100010", B"00100111", B"11010100",
3808
 B"00100111", B"11110010", B"00100110", B"11010011", B"11001100",
3809
 B"11100101", B"00110100", B"00100011", B"11100011", B"00001111",
3810
 B"11000101", B"11001000", B"00101001", B"11100010", B"11011001",
3811
 B"11010101", B"11011000", B"11111101", B"00111000", B"00100011",
3812
 B"00100101", B"11110111", B"00100101", B"11011101", B"00110001",
3813
 B"11011110", B"00001011", B"11011100", B"00001110", B"11011100",
3814
 B"11011101", B"00110001", B"00101000", B"00110111", B"00001000",
3815
 B"11010111", B"00011100", B"00011011", B"11100010", B"11011000",
3816
 B"00110100", B"11011010", B"11011001", B"00100001", B"00010111",
3817
 B"00111001", B"00101111", B"11001110", B"11000100", B"00010101",
3818
 B"00101010", B"00011000", B"00001111", B"00011011", B"00010110",
3819
 B"00100110", B"01000000", B"11100101", B"00011100", B"00100101",
3820
 B"11011111", B"11011000", B"11101111", B"11101010", B"11100001",
3821
 B"00101001", B"11011100", B"11011101", B"00100101", B"11010100",
3822
 B"11010011", B"11011111", B"11011111", B"11101011", B"11100001",
3823
 B"11011001", B"11110111", B"00010111", B"00100011", B"00110010",
3824
 B"00011100", B"11001110", B"00001111", B"00110000", B"00101001",
3825
 B"11011000", B"00101100", B"11011100", B"00010011", B"00110110",
3826
 B"11010111", B"00101101", B"00011110", B"11010010", B"00001101",
3827
 B"00010100", B"11000011", B"11100100", B"11100000", B"11001011",
3828
 B"11111100", B"00110100", B"00101000", B"00100000", B"00001001",
3829
 B"11110110", B"11110101", B"11110001", B"11011011", B"00101101",
3830
 B"11011100", B"10111011", B"00001001", B"11101100", B"00101110",
3831
 B"11001001", B"11011011", B"10111110", B"00011101", B"00110010",
3832
 B"11101111", B"00001101", B"11110111", B"00001011", B"00011010",
3833
 B"00100111", B"00010101", B"00010001", B"00001100", B"11011000",
3834
 B"00011000", B"11000000", B"00001101", B"11111110", B"11100000",
3835
 B"00110011", B"00111000", B"00100001", B"00110001", B"00110011",
3836
 B"11101000", B"00100000", B"11011011", B"00100100", B"11100111",
3837
 B"00101001", B"00010110", B"11001010", B"11010110", B"00000011",
3838
 B"00010110", B"11011101", B"11011100", B"00000011", B"00100010",
3839
 B"11100100", B"11100010", B"11101000", B"11101110", B"01000100",
3840
 B"11100110", B"11101110", B"00011000", B"11100011", B"00000000",
3841
 B"11010100", B"00001111", B"00011110", B"11010010", B"00111100",
3842
 B"00011100", B"11011011", B"00011100", B"00011101", B"11011101",
3843
 B"11101001", B"00100010", B"00101000", B"11100110", B"11001001",
3844
 B"11110000", B"11010101", B"11110010", B"11110001", B"11100111",
3845
 B"11011010", B"00011111", B"11011000", B"00011011", B"11011001",
3846
 B"11110110", B"00001100", B"00011111", B"11011111", B"11111100",
3847
 B"00010001", B"00100001", B"11101011", B"00011110", B"11010101",
3848
 B"00011110", B"11100110", B"11011100", B"11010011", B"00101000",
3849
 B"00100111", B"11011110", B"00110010", B"11100110", B"00100110",
3850
 B"11110000", B"00101101", B"11110011", B"11100000", B"11101011",
3851
 B"00110100", B"00011110", B"11101100", B"00101000", B"11101010",
3852
 B"11111110", B"11001111", B"11100011", B"00101101", B"00100001",
3853
 B"11100110", B"11010101", B"00100011", B"00100110", B"00111101",
3854
 B"00100111", B"11100111", B"11011001", B"11010111", B"00011011",
3855
 B"10111101", B"00111011", B"11100011", B"11001001", B"01000101",
3856
 B"00000101", B"11011100", B"11101010", B"10110001", B"00001001",
3857
 B"00011101", B"11011011", B"00110101", B"11101110", B"11010011",
3858
 B"11010001", B"00011011", B"11011000", B"00100001", B"11100010",
3859
 B"11100100", B"00011111", B"00100110", B"00101100", B"00001111",
3860
 B"11000100", B"11110001", B"11100000", B"00110000", B"11011110",
3861
 B"00000100", B"11100111", B"00011111", B"11001010", B"11010011",
3862
 B"11011101", B"00100101", B"00010011", B"00011101", B"00100110",
3863
 B"00001001", B"11010110", B"00101000", B"11011011", B"00001100",
3864
 B"11110001", B"00101111", B"11110010", B"11100011", B"00011000",
3865
 B"11111001", B"11000011", B"11100110", B"11110101", B"11100101",
3866
 B"11011001", B"00001111", B"11010111", B"00111001", B"11100101",
3867
 B"10111010", B"00101110", B"11111111", B"11100000", B"11101101",
3868
 B"11100001", B"11110001", B"00001100", B"11101101", B"00101110",
3869
 B"11011110", B"11010111", B"00011001", B"00010011", B"00100000",
3870
 B"00100111", B"11100110", B"11100100", B"11101011", B"11100110",
3871
 B"11110001", B"11100001", B"00001110", B"00101010", B"01000000",
3872
 B"00110000", B"11011001", B"11011000", B"11101011", B"11000011",
3873
 B"11111111", B"00111000", B"00100000", B"00011001", B"00100001",
3874
 B"00101010", B"11100111", B"11011111", B"11111110", B"00100101",
3875
 B"11001010", B"11000011", B"00000010", B"11001111", B"11111100",
3876
 B"11010010", B"11100100", B"00101101", B"11000010", B"00100010",
3877
 B"00100010", B"00001010", B"00101110", B"00110101", B"00000100",
3878
 B"00000101", B"00011101", B"00100011", B"00110000", B"11010111",
3879
 B"11011000", B"00101111", B"11011001", B"11111110", B"11101010",
3880
 B"11100100", B"00100011", B"11011110", B"00101110", B"00010101",
3881
 B"11000100", B"00001110", B"00100111", B"11110101", B"11001010",
3882
 B"00010101", B"00000111", B"11001100", B"11011010", B"11101111",
3883
 B"11000010", B"11101110", B"11101000", B"00001110", B"00001101",
3884
 B"11100000", B"11011011", B"11001110", B"11101000", B"11010011",
3885
 B"00111110", B"11001111", B"00011101", B"00011010", B"11100000",
3886
 B"00011111", B"00100111", B"11011111", B"00011111", B"00001011",
3887
 B"11110011", B"01001001", B"00101001", B"11000111", B"11110101",
3888
 B"00100011", B"10111111", B"00101100", B"11001101", B"11100101",
3889
 B"11001110", B"00001101", B"00000001", B"00001001", B"00101010",
3890
 B"01000101", B"11000010", B"00101010", B"00100000", B"11100111",
3891
 B"11100111", B"00001010", B"11101000", B"11101101", B"00011000",
3892
 B"11110010", B"00010011", B"11001001", B"11101001", B"00010110",
3893
 B"01000100", B"00010110", B"00100100", B"01000011", B"00010010",
3894
 B"00010100", B"00011101", B"00101001", B"11111110", B"11111111",
3895
 B"11101110", B"00001010", B"00001101", B"11100010", B"00101111",
3896
 B"11101111", B"00010001", B"11100010", B"11111010", B"00101001",
3897
 B"11101001", B"00001101", B"11001101", B"11111000", B"11101010",
3898
 B"11010011", B"11011011", B"11010000", B"00110110", B"01000000",
3899
 B"00001101", B"00100011", B"11101000", B"11000111", B"00011100",
3900
 B"11011110", B"00101001", B"11010010", B"11001111", B"00101111",
3901
 B"00100000", B"00001110", B"11010010", B"11101010", B"00010000",
3902
 B"00001101", B"11001111", B"11010010", B"00010110", B"11011111",
3903
 B"11100100", B"11011010", B"11000100", B"00101110", B"10111101",
3904
 B"00000010", B"11001011", B"11110010", B"00100010", B"00011101",
3905
 B"00101101", B"00111011", B"11100110", B"11101101", B"11100011",
3906
 B"11100001", B"11101111", B"00001100", B"00111000", B"11100000",
3907
 B"00100111", B"11111001", B"11011111", B"00011011", B"11101100",
3908
 B"11100100", B"11000101", B"00001010", B"00011101", B"11110001",
3909
 B"11101000", B"11011010", B"00010100", B"11100011", B"00100111",
3910
 B"11001000", B"11101001", B"00010010", B"00100110", B"00101000",
3911
 B"00011011", B"11010111", B"00101010", B"11011111", B"00011011",
3912
 B"11001001", B"10111000", B"11011001", B"00010101", B"11110110",
3913
 B"00101100", B"11011000", B"11100001", B"00001111", B"00110000",
3914
 B"00101111", B"11011110", B"11010011", B"00100000", B"00101000",
3915
 B"11010101", B"11100001", B"00100010", B"00011110", B"11000011",
3916
 B"00110000", B"11111010", B"11101100", B"11101101", B"00100101",
3917
 B"11011110", B"00001011", B"11101101", B"11011110", B"11111001",
3918
 B"00010111", B"00110000", B"11001111", B"00100000", B"00011100",
3919
 B"11111101", B"11011101", B"00001100", B"00100011", B"11010100",
3920
 B"11010010", B"00101011", B"00101110", B"00111011", B"11000100",
3921
 B"00110100", B"11101001", B"00100011", B"11101110", B"11101011",
3922
 B"11001100", B"00100011", B"00000001", B"00011001", B"00010011",
3923
 B"11101001", B"11001010", B"00100011", B"00001110", B"00100000",
3924
 B"11011000", B"00011101", B"11011100", B"00100111", B"11011110",
3925
 B"11100110", B"00100010", B"11010011", B"11100010", B"11001110",
3926
 B"00011010", B"00100110", B"11001001", B"00100011", B"11111110",
3927
 B"11011111", B"11010011", B"11100011", B"00100001", B"11100101",
3928
 B"00100000", B"00011001", B"11011111", B"00101111", B"11110000",
3929
 B"11100010", B"11011111", B"00101001", B"00100010", B"11001010",
3930
 B"00011110", B"11011111", B"00010111", B"11001011", B"11001000",
3931
 B"00011101", B"00010001", B"00000000", B"00110010", B"11010110",
3932
 B"00001000", B"10111111", B"11110110", B"00101101", B"00010110",
3933
 B"00011011", B"00101001", B"00100100", B"11100000", B"00110100",
3934
 B"11101111", B"00101001", B"11110000", B"00101110", B"00011101",
3935
 B"11010100", B"10110101", B"00010001", B"00100001", B"11011110",
3936
 B"11001000", B"11011100", B"11100101", B"11100011", B"00100011",
3937
 B"00101001", B"11101011", B"00100101", B"11000111", B"00110110",
3938
 B"00110110", B"00011101", B"11111111", B"00111011", B"00100011",
3939
 B"00110101", B"00010000", B"11010000", B"11011110", B"00110010",
3940
 B"00101000", B"00100011", B"00100000", B"11101100", B"11110011",
3941
 B"00101100", B"11011010", B"00001000", B"11001000", B"11011111",
3942
 B"00100011", B"11110010", B"00100011", B"00010110", B"00010110",
3943
 B"00111100", B"11011111", B"00101101", B"11101100", B"00010111",
3944
 B"11011111", B"11110100", B"00110011", B"00011100", B"00101001",
3945
 B"11101101", B"00011100", B"11100001", B"00101010", B"00011010",
3946
 B"00011101", B"11101010", B"00110111", B"00010111", B"00000011",
3947
 B"11011110", B"00100001", B"01010011", B"00011000", B"00101000",
3948
 B"00100111", B"00100101", B"00100010", B"00011100", B"00101001",
3949
 B"11101001", B"11010110", B"11100100", B"10111110", B"00110011",
3950
 B"00011000", B"00101001", B"00011101", B"00110100", B"00101011",
3951
 B"11101010", B"00101001", B"00111000", B"11100001", B"11011110",
3952
 B"00100110", B"11110100", B"11101000", B"11011100", B"00100110",
3953
 B"00101010", B"11001000", B"00001011", B"11011101", B"00100010",
3954
 B"11011000", B"11100010", B"11011111", B"11101100", B"00011000",
3955
 B"11100001", B"00010001", B"11111101", B"11010001", B"00110101",
3956
 B"11110010", B"00110001", B"11110011", B"11100000", B"00101011",
3957
 B"00101010", B"00110110", B"11011011", B"11110010", B"00100010",
3958
 B"00110010", B"10111100", B"11101100", B"11111010", B"11101010",
3959
 B"00011110", B"11110001", B"00001111", B"11100100", B"11011111",
3960
 B"00001110", B"11000001", B"00100000", B"00010101", B"11110100",
3961
 B"11110011", B"11010011", B"11101101", B"11001101", B"00110010",
3962
 B"00011110", B"00100001", B"10111101", B"00100110", B"11011111",
3963
 B"00110100", B"11110101", B"00111011", B"11111010", B"11101000",
3964
 B"11001100", B"11100110", B"00001111", B"11101001", B"00010010",
3965
 B"11010100", B"00101001", B"11011100", B"11000101", B"11011101",
3966
 B"00100001", B"00011111", B"11100000", B"00101110", B"11110111",
3967
 B"00011100", B"11110100", B"11101000", B"11110010", B"00001010",
3968
 B"00111000", B"00101001", B"11101100", B"11100001", B"11001111",
3969
 B"00001000", B"00011000", B"11101001", B"00010000", B"00100010",
3970
 B"00010111", B"11011111", B"00010101", B"00010110", B"10111010",
3971
 B"11101101", B"00001000", B"00100101", B"11100010", B"00010101",
3972
 B"00011000", B"11101001", B"00010100", B"00010010", B"11011100",
3973
 B"00101110", B"00111000", B"11100001", B"11110100", B"00100000",
3974
 B"00000101", B"11010010", B"11011110", B"11100000", B"00011010",
3975
 B"11001000", B"11011011", B"11101011", B"00100110", B"00011100",
3976
 B"11100000", B"11001111", B"11011001", B"00000000", B"00100000",
3977
 B"00101010", B"11101000", B"00001100", B"11110011", B"11001000",
3978
 B"11000101", B"11011110", B"00101110", B"00010000", B"11101010",
3979
 B"00101100", B"11100110", B"11100001", B"11010001", B"11110011",
3980
 B"00001101", B"00011000", B"00010100", B"00010000", B"00001100",
3981
 B"11101111", B"11110000", B"00110011", B"11101101", B"00110000",
3982
 B"11100011", B"11010000", B"00100101", B"11010111", B"11001100",
3983
 B"11100101", B"00101000", B"00000111", B"11010110", B"00101010",
3984
 B"11100100", B"11101100", B"00010000", B"00101010", B"11010010",
3985
 B"11001011", B"11100110", B"11001100", B"11011000", B"11100010",
3986
 B"00011101", B"11100110", B"11111001", B"11000101", B"00100101",
3987
 B"00100111", B"11011001", B"00011000", B"11000101", B"11111001",
3988
 B"00010011", B"11101110", B"00110100", B"00100111", B"11110001",
3989
 B"00111010", B"00011000", B"11100000", B"11011000", B"00001101",
3990
 B"00000100", B"11100111", B"11111100", B"11110000", B"00010101",
3991
 B"00001101", B"11010101", B"11100010", B"10111011", B"11011110",
3992
 B"11100100", B"00101110", B"00101000", B"11101101", B"11011110",
3993
 B"00100101", B"00001111", B"11111010", B"11011110", B"11110011",
3994
 B"11110011", B"11010010", B"11110001", B"00110100", B"00011001",
3995
 B"00011110", B"00011100", B"00001100", B"11001111", B"00010101",
3996
 B"00010101", B"11011110", B"00100111", B"00100101", B"11100100",
3997
 B"11101011", B"00011101", B"00011001", B"00101000", B"11100000",
3998
 B"00100000", B"11101010", B"00001110", B"11010101", B"11101101",
3999
 B"11011100", B"00110000", B"11111101", B"11100101", B"00011110",
4000
 B"11011000", B"11011100", B"01000101", B"00100110", B"11010011",
4001
 B"11100110", B"11100011", B"11110110", B"11110010", B"11101111",
4002
 B"11000000", B"11011101", B"11011101", B"00110110", B"00110010",
4003
 B"00101010", B"00100100", B"11001000", B"11110110", B"11011111",
4004
 B"00100010", B"00100101", B"11011010", B"00110101", B"11100101",
4005
 B"11010011", B"11010010", B"00110000", B"00100001", B"00011101",
4006
 B"00001101", B"11100000", B"11011101", B"11100011", B"00011101",
4007
 B"11010001", B"11001011", B"11100110", B"00100100", B"00011010",
4008
 B"11111000", B"00010110", B"11010101", B"11011001", B"11011101",
4009
 B"11101100", B"00100110", B"11011100", B"00110110", B"11010001",
4010
 B"00100100", B"00010010", B"11011010", B"11101111", B"11010101",
4011
 B"11111001", B"11101111", B"00011000", B"00110101", B"00101101",
4012
 B"00110110", B"00010101", B"00011110", B"00001111", B"00101010",
4013
 B"00010100", B"11010110", B"00011110", B"00101001", B"11110001",
4014
 B"00001111", B"00010000", B"11011001", B"11101011", B"00001111",
4015
 B"11011000", B"00100000", B"11110001", B"11100101", B"00100111",
4016
 B"00100010", B"00100100", B"11100010", B"00001001", B"11011000",
4017
 B"11011111", B"11111101", B"00101111", B"00100110", B"00111100",
4018
 B"00010110", B"11100100", B"00101101", B"00000010", B"11100101",
4019
 B"11011000", B"11101110", B"11100000", B"00000101", B"00100001",
4020
 B"11010001", B"11100111", B"11010001", B"11110000", B"11011011",
4021
 B"00011111", B"11011111", B"11011010", B"00100001", B"11110100",
4022
 B"11111110", B"11001101", B"11100100", B"00010110", B"11011000",
4023
 B"11001000", B"11100001", B"11001001", B"00011010", B"11101010",
4024
 B"00100101", B"11101100", B"11101001", B"11110001", B"11010100",
4025
 B"00010111", B"00110100", B"00000110", B"00110001", B"00001111",
4026
 B"10111011", B"11011001", B"11101111", B"11110001", B"00101100",
4027
 B"11100111", B"00011000", B"00101110", B"11011110", B"11011010",
4028
 B"00011101", B"11001110", B"11011000", B"11001101", B"00001100",
4029
 B"11011111", B"00110110", B"01000011", B"00011111", B"11010000",
4030
 B"00010111", B"11101111", B"00101111", B"11110100", B"11011000",
4031
 B"00100110", B"11011101", B"00100000", B"11001100", B"11011001",
4032
 B"00011011", B"00110011", B"00010001", B"11010001", B"11100000",
4033
 B"00101011", B"00011111", B"11001110", B"11100000", B"00101000",
4034
 B"11101010", B"11110000", B"11010100", B"11010101", B"00010011",
4035
 B"11100010", B"00101001", B"11001100", B"11110011", B"00101010",
4036
 B"11110100", B"00100000", B"11010000", B"11110001", B"00011000",
4037
 B"11100000", B"00101010", B"11110111", B"11110100", B"11110111",
4038
 B"00010001", B"00000010", B"11001001", B"00000110", B"00111001",
4039
 B"00100111", B"00100000", B"00101111", B"00101110", B"00101100",
4040
 B"00010111", B"00100011", B"00101101", B"00011000", B"00011001",
4041
 B"00100011", B"00100010", B"00000000", B"00011111", B"11000011",
4042
 B"11110110", B"00011111", B"11100101", B"00101110", B"11011011",
4043
 B"11100000", B"00001110", B"00011110", B"11001101", B"00011111",
4044
 B"00100000", B"11011011", B"00011010", B"00011110", B"11100110",
4045
 B"11010110", B"11010001", B"11100111", B"00000111", B"00101101",
4046
 B"11101110", B"00100110", B"11010011", B"11111111", B"11100000",
4047
 B"11010111", B"00101001", B"11011010", B"11101110", B"11010010",
4048
 B"11100001", B"11100000", B"00011000", B"11100101", B"00101011",
4049
 B"11010001", B"11101010", B"00010101", B"00001110", B"11110110",
4050
 B"11101111", B"00100011", B"00110111", B"11100011", B"00101001",
4051
 B"00011110", B"11001110", B"11011101", B"10110011", B"00000010",
4052
 B"11111000", B"00011110", B"00100111", B"00101000", B"00101001",
4053
 B"11001111", B"00000110", B"11001110", B"00010111", B"11001011",
4054
 B"11101010", B"00100011", B"00101001", B"11010010", B"11011000",
4055
 B"00011010", B"11001111", B"00100000", B"11001010", B"11010001",
4056
 B"00011011", B"11100010", B"11100001", B"00001000", B"11101101",
4057
 B"00011111", B"11011011", B"11100101", B"00001101", B"00011001",
4058
 B"11100000", B"11100100", B"01000101", B"11011001", B"11101010",
4059
 B"11001001", B"11100110", B"11010111", B"11101001", B"00011010",
4060
 B"00101001", B"00011111", B"00111101", B"11110000", B"11001000"
4061
 
4062
);
4063
 
4064
signal input_counter : integer range 0 to 19999 := 0;
4065
signal start_fifo    : bit_vector (7 downto 0) := ( B"0100_0000" );
4066
signal clk : bit;
4067
 
4068
begin
4069
 
4070
process (clk, clear)
4071
begin
4072
if (clear = '1') then
4073
    rxin <= (others => '0');
4074
elsif (clk = '1' and clk'event) then
4075
    rxin <= input_bank(input_counter);
4076
end if;
4077
end process;
4078
 
4079
process (clk, clear)
4080
begin
4081
if (clear = '1') then
4082
    input_counter <= 0;
4083
elsif (clk = '1' and clk'event) then
4084
    if (input_counter < 19999) then
4085
    input_counter <= input_counter + 1;
4086
    else
4087
    input_counter <= 0;
4088
    end if;
4089
end if;
4090
end process;
4091
 
4092
rom_pos <= input_counter;
4093
 
4094
process (clk, clear)
4095
begin
4096
if (clear = '1') then
4097
    start_fifo <= B"0100_0000";
4098
elsif ( clk = '1' and clk'event) then
4099
    start_fifo <= start_fifo (6 downto 0) & start_fifo (7);
4100
end if;
4101
end process;
4102
 
4103
clk   <= clock;
4104
start <= start_fifo (7);
4105
 
4106
end test_bench;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.