OpenCores
URL https://opencores.org/ocsvn/product_code_iterative_decoder/product_code_iterative_decoder/trunk

Subversion Repositories product_code_iterative_decoder

[/] [product_code_iterative_decoder/] [trunk/] [xilinx/] [xilinx.vhdl] - Blame information for rev 14

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 9 arif_endro
-- $Id: xilinx.vhdl,v 1.1 2006-01-16 03:40:22 arif_endro Exp $
2
-------------------------------------------------------------------------------
3
-- Title       :
4
-- Project     : 
5
-------------------------------------------------------------------------------
6
-- File        :
7
-- Author      : "Arif E. Nugroho" <arif_endro@yahoo.com>
8
-- Created     : 2005/12/18
9
-- Last update : 
10
-- Simulators  :
11
-- Synthesizers: ISE Xilinx 6.3i
12
-- Target      : 
13
-------------------------------------------------------------------------------
14
-- Description : 
15
-------------------------------------------------------------------------------
16 14 arif_endro
-- Copyright (C) 2005 Arif Endro Nugroho
17 9 arif_endro
-------------------------------------------------------------------------------
18
-- 
19
--      THIS SOURCE FILE MAY BE USED AND DISTRIBUTED WITHOUT RESTRICTION
20
-- PROVIDED THAT THIS COPYRIGHT STATEMENT IS NOT REMOVED FROM THE FILE AND THAT
21
-- ANY DERIVATIVE WORK CONTAINS THE ORIGINAL COPYRIGHT NOTICE AND THE
22
-- ASSOCIATED DISCLAIMER.
23
-- 
24
-------------------------------------------------------------------------------
25
-- 
26
--      THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY EXPRESS OR
27
-- IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF
28
-- MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED.  IN NO
29
-- EVENT SHALL THE AUTHOR BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL,
30
-- SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO,
31
-- PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS;
32
-- OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY,
33
-- WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR
34
-- OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
35
-- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
36
-- 
37
-------------------------------------------------------------------------------
38
 
39
library ieee;
40
use ieee.std_logic_1164.all;
41
use ieee.std_logic_arith.all;
42
use ieee.std_logic_unsigned.all;
43
 
44
entity xilinx is
45
   port (
46
      clock     : in  bit;
47
      clear     : in  bit;
48
      start     : out bit;
49
      rom_pos   : out integer;
50
      y0d       : out bit;
51
      y1d       : out bit;
52
      y2d       : out bit;
53
      y3d       : out bit;
54
      senddata  : out bit_vector (3 downto 0);
55
      match     : out bit_vector (3 downto 0);
56
      bit_error : out integer
57
      );
58
end xilinx;
59
 
60
architecture structural of xilinx is
61
 
62
   component product_code
63
      port (
64
         clock : in  bit;
65
         start : in  bit;
66
         rxin  : in  bit_vector (07 downto 00);
67
         y0d   : out bit;
68
         y1d   : out bit;
69
         y2d   : out bit;
70
         y3d   : out bit
71
         );
72
   end component;
73
 
74
   component input
75
      port (
76
         clock   : in  bit;
77
         clear   : in  bit;
78
         start   : out bit;
79
         rom_pos : out integer;
80
         rxin    : out bit_vector (07 downto 00)
81
         );
82
   end component;
83
 
84
   component reference
85
      port (
86
         clear    : in  bit;
87
         start    : in  bit;
88
         y0       : in  bit;
89
         y1       : in  bit;
90
         y2       : in  bit;
91
         y3       : in  bit;
92
         senddata : out bit_vector (3 downto 0);
93
         match    : out bit_vector (3 downto 0)
94
         );
95
   end component;
96
 
97
   component analyze
98
      port (
99
         clear    : in  bit;
100
         start    : in  bit;
101
         match    : in  bit_vector (3 downto 0);
102
         col_0    : out integer;
103
         col_1    : out integer;
104
         col_2    : out integer;
105
         col_3    : out integer;
106
         result   : out integer
107
         );
108
   end component;
109
 
110
   signal str   : bit;
111
   signal y0    : bit;
112
   signal y1    : bit;
113
   signal y2    : bit;
114
   signal y3    : bit;
115
   signal rxin  : bit_vector (07 downto 00);
116
   signal mtch  : bit_vector (03 downto 00);
117
   signal col_0 : integer;
118
   signal col_1 : integer;
119
   signal col_2 : integer;
120
   signal col_3 : integer;
121
 
122
begin
123
 
124
   start <= str;
125
   match <= mtch;
126
   y0d   <= y0;
127
   y1d   <= y1;
128
   y2d   <= y2;
129
   y3d   <= y3;
130
 
131
   my_product_code : product_code
132
      port map (
133
         clock  => clock,
134
         start  => str,
135
         rxin   => rxin,
136
         y0d    => y0,
137
         y1d    => y1,
138
         y2d    => y2,
139
         y3d    => y3
140
         );
141
 
142
   my_input : input
143
      port map (
144
         clock   => clock,
145
         clear   => clear,
146
         start   => str,
147
         rom_pos => rom_pos,
148
         rxin    => rxin
149
         );
150
 
151
   my_senddata: reference
152
      port map (
153
         clear   => clear,
154
         start   => str,
155
         y0      => y0,
156
         y1      => y1,
157
         y2      => y2,
158
         y3      => y3,
159
         senddata=> senddata,
160
         match   => mtch
161
         );
162
   my_analyzer: analyze
163
      port map (
164
         clear   => clear,
165
         start   => str,
166
         match   => mtch,
167
         col_0   => col_0,
168
         col_1   => col_1,
169
         col_2   => col_2,
170
         col_3   => col_3,
171
         result  => bit_error
172
         );
173
 
174
end structural;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.