OpenCores
URL https://opencores.org/ocsvn/pulse_processing_algorithm/pulse_processing_algorithm/trunk

Subversion Repositories pulse_processing_algorithm

[/] [pulse_processing_algorithm/] [data_path_rst.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 panda_emc
--******************************************************************************
2
--
3
--  Xilinx, Inc. 2002                 www.xilinx.com
4
--
5
--
6
--*******************************************************************************
7
--
8
--  File name :       data_path.vhd
9
--
10
--  Description :     This module comprises the write and read data paths for the
11
--                    DDR1 memory interface. The write data along with write enable 
12
--                    signals are forwarded to the DDR IOB FFs. The read data is 
13
--                    captured in CLB FFs and finally input to FIFOs.
14
-- 
15
--                    
16
--  Date - revision : 10/16/2003
17
--
18
--
19
-- 
20
--*****************************************************************************
21
 
22
library ieee;
23
use ieee.std_logic_1164.all;
24
use ieee.std_logic_unsigned.all;
25
--library synplify; 
26
--use synplify.attributes.all;
27
--
28
-- pragma translate_off
29
library UNISIM;
30
use UNISIM.VCOMPONENTS.ALL;
31
-- pragma translate_on
32
--
33
 
34
 
35
entity data_path_rst is
36
port(
37
     clk                : in std_logic;
38
     clk180             : in std_logic;
39
     clk90              : in std_logic;
40
     reset              : in std_logic;
41
     reset90            : in std_logic;
42
     reset180           : in std_logic;
43
     reset270           : in std_logic;
44
     reset_r            : out std_logic;
45
     reset90_r          : out std_logic;
46
     reset90_r1         : out std_logic;
47
     reset180_r         : out std_logic;
48
     reset270_r         : out std_logic
49
     );
50
end data_path_rst;
51
 
52
architecture arc_data_path_rst of data_path_rst is
53
 
54
attribute syn_keep : boolean;
55
  component FD
56
    port(
57
      Q                              : out STD_LOGIC;
58
      C                              : in STD_LOGIC;
59
      D                              : in STD_LOGIC
60
      );
61
  end component;
62
 
63
 
64
 
65
signal clk270    : std_logic;
66
 
67
 
68
--attribute syn_keep of clk270 : signal is true;
69
begin
70
 
71
-- ********************************
72
--  generation of clk180 and clk270
73
-- *********************************
74
 
75
 
76
 clk270 <= not clk90;
77
 
78
 
79
 
80
 
81
 
82
--***********************************************************************
83
-- Reset flip-flops
84
--***********************************************************************
85
 
86
rst0_r : FD port map (
87
                      Q => reset_r,
88
                      C => clk,
89
                      D => reset
90
                      );
91
 
92
rst90_r : FD port map (
93
                      Q => reset90_r,
94
                      C => clk90,
95
                      D => reset90
96
                      );
97
 
98
rst90_r1 : FD port map (
99
                      Q => reset90_r1,
100
                      C => clk90,
101
                      D => reset90
102
                      );
103
rst180_r : FD port map (
104
                      Q => reset180_r,
105
                      C => clk180,
106
                      D => reset180
107
                      );
108
 
109
rst270_r : FD port map (
110
                      Q => reset270_r,
111
                      C => clk270,
112
                      D => reset270
113
                      );
114
 
115
 
116
end arc_data_path_rst;
117
 
118
 
119
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.