OpenCores
URL https://opencores.org/ocsvn/pulse_processing_algorithm/pulse_processing_algorithm/trunk

Subversion Repositories pulse_processing_algorithm

[/] [pulse_processing_algorithm/] [data_write.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 panda_emc
--*********************************************************************
2
-- DDR 72 Bit Controller DATA PATH for LEFT RIGHT Pins
3
 
4
-- In the current DATA PATH logic DATA CAPTURE part was modified.
5
-- The below changes were made to reduce the resources in 
6
-- the data capture
7
 
8
-- in the current architecture data ( dq ) from ddr memory 
9
-- directly stored into the FIFO's.
10
 
11
-- Architectural changes :
12
 
13
-- Used only TWO FIFOs ( instead of FOUR FIFOs ) 
14
-- Used Single col ( col0 ) dqs_delayed_col signals
15
-- Used Gray Counters for write and read pointers of the FIFOs 
16
 
17
-- fbit stage is removed from ddr1_dqbit module ( in the data capture )
18
-- dq_clk stage was removed 
19
-- dqs_clk_div logic was removed
20
-- ddr1_transfer_done logic was removed 
21
-- data valid signals registering in clk90 domain was removed
22
 
23
-- fifo_0 and fifo_1 wr_addr was double registered in clk90 domain
24
-- only fifo_0 and fifo_1 empty signals were used for read_data_valid_1 logic  
25
-- write enable for the FIFOs derived from rst_dqs_div signal
26
 
27
 
28
 
29
 
30
--*********************************************************************
31
 
32
 
33
library ieee;
34
use ieee.std_logic_1164.all;
35
use ieee.std_logic_unsigned.all;
36
--library synplify; 
37
--use synplify.attributes.all;
38
--
39
-- pragma translate_off
40
library UNISIM;
41
use UNISIM.VCOMPONENTS.ALL;
42
-- pragma translate_on
43
--
44
entity data_write is
45
port(
46
     user_input_data    : in std_logic_vector(31 downto 0);
47
     clk90              : in std_logic;
48
     reset90_r          : in std_logic;
49
     reset270_r         : in std_logic;
50
     write_enable       : in std_logic;
51
     write_en_val       : out std_logic;
52
     write_en_val1      : out std_logic;
53
     write_data_falling : out std_logic_vector(15 downto 0);
54
     write_data_rising  : out std_logic_vector(15 downto 0);
55
     data_mask_f        : out std_logic_vector(1 downto 0);
56
     data_mask_r        : out std_logic_vector(1 downto 0)
57
     );
58
end data_write;
59
 
60
architecture arc_data_write of data_write is
61
 
62
attribute syn_noprune : boolean;  -- Using Syn_noprune Derictive
63
 
64
 
65
signal write_en_P1            : std_logic;
66
signal write_en_P2            : std_logic;
67
--PL
68
--signal write_en_P3            : std_logic;
69
--PL
70
--signal write_en_int           : std_logic;
71
signal write_data             : std_logic_vector(15 downto 0);
72
signal write_data1            : std_logic_vector(31 downto 0);
73
signal write_data2            : std_logic_vector(31 downto 0);
74
signal write_data3            : std_logic_vector(31 downto 0);
75
signal write_data4            : std_logic_vector(31 downto 0);
76
signal write_data5            : std_logic_vector(31 downto 0);
77
signal write_data6            : std_logic_vector(15 downto 0);
78
signal write_data_int         : std_logic_vector(31 downto 0);
79
signal write_data270_1        : std_logic_vector(15 downto 0);
80
signal write_data270_2        : std_logic_vector(15 downto 0);
81
 
82
 
83
 
84
 
85
--attribute syn_noprune of write_en_val : signal is true;
86
--attribute syn_noprune of write_en_val1 : signal is true;
87
 
88
 
89
begin
90
 
91
data_mask_f <= "00";
92
data_mask_r <= "00";
93
 
94
 
95
 
96
-- data path for write enable
97
process(clk90)
98
begin
99
  if clk90'event and clk90 = '1' then
100
   if reset90_r = '1' then
101
    write_en_P1 <= '0';
102
    write_en_P2 <= '0';
103
--PL
104
--    write_en_P3 <= '0';
105
   else
106
     write_en_P1 <= write_enable;
107
     write_en_P2 <= write_en_P1;
108
--PL
109
--     write_en_P3 <= write_en_P2;
110
   end if;
111
  end if;
112
end process;
113
 
114
--- the following lines have been replaced for timing reasons. 
115
--- One stage of the write_en_val has been moved to datapath_iobs
116
 
117
-- data path for write enable
118
--process(clk90)
119
--begin
120
-- if clk90'event and clk90 = '0' then
121
--  if reset90_r = '1' then
122
--    write_en_int    <= '0';
123
--    write_en_val    <= '0';
124
--    write_en_val1   <= '0';
125
 
126
--  else
127
--     write_en_int   <= write_en_P2;
128
--     write_en_val  <= write_en_int;
129
--     write_en_val1  <= write_en_p3; -- assinged for reducing fan-out 
130
 
131
--  end if;
132
-- end if;
133
--end process;
134
 
135
 
136
process(clk90)
137
begin
138
 if clk90'event and clk90 = '0' then
139
  if reset90_r = '1' then
140
--PL
141
--    write_en_int    <= '0';
142
    write_en_val    <= '0';
143
    write_en_val1   <= '0';
144
 
145
  else
146
--     write_en_int   <= write_en_P2;
147
     write_en_val  <= write_en_p2;
148
     write_en_val1  <= write_en_p2; -- assinged for reducing fan-out 
149
 
150
  end if;
151
 end if;
152
end process;
153
 
154
 
155
process(clk90)
156
begin
157
  if clk90'event and clk90 = '1' then
158
    if reset90_r = '1' then
159
       write_data_int   <= (others => '0');
160
       write_data1      <= (others => '0');
161
       write_data2      <= (others => '0');
162
       write_data3      <= (others => '0');
163
       write_data4      <= (others => '0');
164
       write_data5      <= (others => '0');
165
       write_data6      <= (others => '0');
166
       write_data       <= (others => '0');
167
    else
168
       write_data_int         <= user_input_data(31 downto 0);
169
       write_data1            <= write_data_int;
170
       write_data2            <= write_data1;
171
       write_data3            <= write_data2;
172
       write_data4            <= write_data3;
173
       write_data5            <= write_data4;
174
       write_data6            <= write_data5(15 downto 0);
175
       write_data             <= write_data6;
176
    end if;
177
  end if;
178
end process;
179
 
180
process(clk90)
181
begin
182
  if clk90'event and clk90 = '1' then
183
    if reset90_r = '1' then
184
       write_data270_1  <= (others => '0');
185
       write_data270_2  <= (others => '0');
186
    else
187
       write_data270_1  <= write_data5(31 downto 16);
188
       write_data270_2  <= write_data270_1;
189
    end if;
190
  end if;
191
end process;
192
 
193
write_data_rising  <= write_data270_2;
194
write_data_falling <= write_data(15 downto 0);
195
 
196
end arc_data_write;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.