OpenCores
URL https://opencores.org/ocsvn/ram_wb/ram_wb/trunk

Subversion Repositories ram_wb

[/] [ram_wb/] [trunk/] [rtl/] [verilog/] [ram_wb_sc_dw.v] - Blame information for rev 6

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 5 unneback
// True dual port RAM as found in ACTEL proasic3 devices
2
module ram_sc_dw (d_a, q_a, adr_a, we_a, q_b, adr_b, d_b, we_b, clk);
3 2 unneback
 
4 5 unneback
   parameter dat_width = 32;
5
   parameter adr_width = 11;
6
   parameter mem_size  = 2048;
7
 
8
   input [dat_width-1:0]      d_a;
9
   input [adr_width-1:0]      adr_a;
10
   input [adr_width-1:0]      adr_b;
11
   input                      we_a;
12
   output reg [dat_width-1:0] q_b;
13
   input [dat_width-1:0]      d_b;
14
   output reg [dat_width-1:0] q_a;
15
   input                      we_b;
16
   input                      clk;
17
 
18
   reg [dat_width-1:0]         ram [0:mem_size - 1] ;
19
 
20 2 unneback
   always @ (posedge clk)
21
     begin
22
        q_a <= ram[adr_a];
23
        if (we_a)
24 5 unneback
          ram[adr_a] <= d_a;
25 2 unneback
     end
26
   always @ (posedge clk)
27
     begin
28 5 unneback
        q_b <= ram[adr_b];
29 2 unneback
        if (we_b)
30
          ram[adr_b] <= d_b;
31
     end
32 5 unneback
 
33 2 unneback
endmodule
34 5 unneback
 
35
// wrapper for the above dual port RAM
36 6 unneback
module ram (dat_i, dat_o, adr_i, we_i, clk );
37 5 unneback
 
38
   parameter dat_width = 32;
39
   parameter adr_width = 11;
40
   parameter mem_size  = 2048;
41
 
42
   input [dat_width-1:0]      dat_i;
43
   input [adr_width-1:0]      adr_i;
44
   input                      we_i;
45
   output [dat_width-1:0]     dat_o;
46
   input                      clk;
47
 
48
   ram_sc_dw
49
     #
50
     (
51
      .dat_width(dat_width),
52 6 unneback
      .adr_width(adr_width),
53
      .mem_size(mem_size)
54 5 unneback
      )
55
     ram0
56
     (
57
      .d_a(dat_i),
58 6 unneback
      .q_a(),
59
      .adr_a(adr_i),
60
      .we_a(we_i),
61
      .q_b(dat_o),
62
      .adr_b(adr_i),
63
      .d_b({dat_width{1'b0}}),
64
      .we_b(1'b0),
65 5 unneback
      .clk(clk)
66
      );
67
 
68
endmodule // ram

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.