OpenCores
URL https://opencores.org/ocsvn/raptor64/raptor64/trunk

Subversion Repositories raptor64

[/] [raptor64/] [trunk/] [rtl/] [verilog/] [Raptor64_BypassMux.v] - Blame information for rev 48

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 48 robfinch
`timescale 1ns / 1ps
2
// ============================================================================
3
//        __
4
//   \\__/ o\    (C) 2012-2013  Robert Finch, Stratford
5
//    \  __ /    All rights reserved.
6
//     \/_//     robfinch<remove>@opencores.org
7
//       ||
8
//
9
// This source file is free software: you can redistribute it and/or modify 
10
// it under the terms of the GNU Lesser General Public License as published 
11
// by the Free Software Foundation, either version 3 of the License, or     
12
// (at your option) any later version.                                      
13
//                                                                          
14
// This source file is distributed in the hope that it will be useful,      
15
// but WITHOUT ANY WARRANTY; without even the implied warranty of           
16
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the            
17
// GNU General Public License for more details.                             
18
//                                                                          
19
// You should have received a copy of the GNU General Public License        
20
// along with this program.  If not, see <http://www.gnu.org/licenses/>.    
21
//
22
// ============================================================================
23
//
24
module Raptor64_BypassMux(dpc,dRn,xRt,m1Rt,m2Rt,wRt,tRt,rfo,xData,m1Data,m2Data,wData,tData,nxt);
25
input [63:0] dpc;
26
input [8:0] dRn;
27
input [8:0] xRt;
28
input [8:0] m1Rt;
29
input [8:0] m2Rt;
30
input [8:0] wRt;
31
input [8:0] tRt;
32
input [63:0] rfo;        // register file output
33
input [63:0] xData;
34
input [63:0] m1Data;
35
input [63:0] m2Data;
36
input [63:0] wData;
37
input [63:0] tData;
38
output [63:0] nxt;
39
reg [63:0] nxt;
40
 
41
always @(dRn or xData or m1Data or m2Data or wData or tData or rfo or dpc or xRt or m1Rt or m2Rt or wRt or tRt)
42
        casex(dRn)
43
        9'bxxxx00000:   nxt <= 64'd0;
44
        9'bxxxx11101:   nxt <= dpc;
45
        xRt:    nxt <= xData;
46
        m1Rt:   nxt <= m1Data;
47
        m2Rt:   nxt <= m2Data;
48
        wRt:    nxt <= wData;
49
        tRt:    nxt <= tData;
50
        default:        nxt <= rfo;
51
        endcase
52
 
53
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.