OpenCores
URL https://opencores.org/ocsvn/raptor64/raptor64/trunk

Subversion Repositories raptor64

[/] [raptor64/] [trunk/] [rtl/] [verilog/] [Raptor64_dcache_ram.v] - Blame information for rev 48

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 robfinch
// ============================================================================
2
// (C) 2012 Robert Finch
3
// All Rights Reserved.
4
// robfinch<remove>@opencores.org
5
//
6
// Raptor64.v - dcache_ram
7
//  - 64 bit CPU data cache ram
8
//
9
// This source file is free software: you can redistribute it and/or modify 
10
// it under the terms of the GNU Lesser General Public License as published 
11
// by the Free Software Foundation, either version 3 of the License, or     
12
// (at your option) any later version.                                      
13
//                                                                          
14
// This source file is distributed in the hope that it will be useful,      
15
// but WITHOUT ANY WARRANTY; without even the implied warranty of           
16
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the            
17
// GNU General Public License for more details.                             
18
//                                                                          
19
// You should have received a copy of the GNU General Public License        
20
// along with this program.  If not, see <http://www.gnu.org/licenses/>.    
21
//                                                                          
22
// ============================================================================
23
//
24 48 robfinch
module Raptor64_dcache_ram(wclk,wr,sel,wadr,i,rclk,radr,o);
25
input wclk;
26 3 robfinch
input wr;
27 48 robfinch
input [7:0] sel;
28
input [14:3] wadr;
29
input [63:0] i;
30
input rclk;
31
input [14:3] radr;
32 3 robfinch
output [63:0] o;
33
 
34 48 robfinch
reg [63:0] mem [0:4095];
35
reg [14:3] rradr;
36 3 robfinch
 
37 48 robfinch
always @(posedge wclk)
38
        if (wr) begin
39
                if (sel[0]) mem[wadr][ 7: 0] <= i[ 7: 0];
40
                if (sel[1]) mem[wadr][15: 8] <= i[15: 8];
41
                if (sel[2]) mem[wadr][23:16] <= i[23:16];
42
                if (sel[3]) mem[wadr][31:24] <= i[31:24];
43
                if (sel[4]) mem[wadr][39:32] <= i[39:32];
44
                if (sel[5]) mem[wadr][47:40] <= i[47:40];
45
                if (sel[6]) mem[wadr][55:48] <= i[55:48];
46
                if (sel[7]) mem[wadr][63:56] <= i[63:56];
47
        end
48
 
49
always @(posedge rclk)
50
        rradr <= radr[14:3];
51
 
52
assign o = mem[rradr];
53
 
54
/*
55 3 robfinch
syncRam2kx8_1rw1r u1
56
(
57
        .wrst(1'b0),
58
        .wclk(clk),
59
        .wce(sel[0] && !wadr[2]),
60
        .we(wr),
61
        .wadr(wadr[13:3]),
62
        .i(i[7:0]),
63
        .wo(),
64
        .rrst(1'b0),
65
        .rclk(~clk),
66
        .rce(1'b1),
67
        .radr(radr[13:3]),
68
        .o(o[7:0])
69
);
70
 
71
syncRam2kx8_1rw1r u2
72
(
73
        .wrst(1'b0),
74
        .wclk(clk),
75
        .wce(sel[1] && !wadr[2]),
76
        .we(wr),
77
        .wadr(wadr[13:3]),
78
        .i(i[15:8]),
79
        .wo(),
80
        .rrst(1'b0),
81
        .rclk(~clk),
82
        .rce(1'b1),
83
        .radr(radr[13:3]),
84
        .o(o[15:8])
85
);
86
 
87
syncRam2kx8_1rw1r u3
88
(
89
        .wrst(1'b0),
90
        .wclk(clk),
91
        .wce(sel[2] && !wadr[2]),
92
        .we(wr),
93
        .wadr(wadr[13:3]),
94
        .i(i[23:16]),
95
        .wo(),
96
        .rrst(1'b0),
97
        .rclk(~clk),
98
        .rce(1'b1),
99
        .radr(radr[13:3]),
100
        .o(o[23:16])
101
);
102
 
103
syncRam2kx8_1rw1r u4
104
(
105
        .wrst(1'b0),
106
        .wclk(clk),
107
        .wce(sel[3] && !wadr[2]),
108
        .we(wr),
109
        .wadr(wadr[13:3]),
110
        .i(i[31:24]),
111
        .wo(),
112
        .rrst(1'b0),
113
        .rclk(~clk),
114
        .rce(1'b1),
115
        .radr(radr[13:3]),
116
        .o(o[31:24])
117
);
118
 
119
syncRam2kx8_1rw1r u5
120
(
121
        .wrst(1'b0),
122
        .wclk(clk),
123
        .wce(sel[0] && wadr[2]),
124
        .we(wr),
125
        .wadr(wadr[13:3]),
126
        .i(i[7:0]),
127
        .wo(),
128
        .rrst(1'b0),
129
        .rclk(~clk),
130
        .rce(1'b1),
131
        .radr(radr[13:3]),
132
        .o(o[39:32])
133
);
134
 
135
syncRam2kx8_1rw1r u6
136
(
137
        .wrst(1'b0),
138
        .wclk(clk),
139
        .wce(sel[1] && wadr[2]),
140
        .we(wr),
141
        .wadr(wadr[13:3]),
142
        .i(i[15:8]),
143
        .wo(),
144
        .rrst(1'b0),
145
        .rclk(~clk),
146
        .rce(1'b1),
147
        .radr(radr[13:3]),
148
        .o(o[47:40])
149
);
150
 
151
syncRam2kx8_1rw1r u7
152
(
153
        .wrst(1'b0),
154
        .wclk(clk),
155
        .wce(sel[2] && wadr[2]),
156
        .we(wr),
157
        .wadr(wadr[13:3]),
158
        .i(i[23:16]),
159
        .wo(),
160
        .rrst(1'b0),
161
        .rclk(~clk),
162
        .rce(1'b1),
163
        .radr(radr[13:3]),
164
        .o(o[55:48])
165
);
166
 
167
syncRam2kx8_1rw1r u8
168
(
169
        .wrst(1'b0),
170
        .wclk(clk),
171
        .wce(sel[3] && wadr[2]),
172
        .we(wr),
173
        .wadr(wadr[13:3]),
174
        .i(i[31:24]),
175
        .wo(),
176
        .rrst(1'b0),
177
        .rclk(~clk),
178
        .rce(1'b1),
179
        .radr(radr[13:3]),
180
        .o(o[63:56])
181
);
182
 
183 48 robfinch
*/endmodule
184 3 robfinch
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.