OpenCores
URL https://opencores.org/ocsvn/raptor64/raptor64/trunk

Subversion Repositories raptor64

[/] [raptor64/] [trunk/] [rtl/] [verilog/] [Raptor64_tb2.v] - Blame information for rev 52

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 52 robfinch
module Raptor64_tb2();
2
parameter IDLE = 8'd1;
3
parameter DOCMD = 8'd2;
4
 
5
reg clk;
6
reg rst;
7
reg nmi;
8
wire sys_iocyc;
9
wire sys_cyc;
10
wire sys_stb;
11
wire sys_we;
12
wire [7:0] sys_sel;
13
wire [63:0] sys_adr;
14
wire [63:0] sys_dbo;
15
wire [63:0] sys_dbi;
16
wire sys_ack;
17
wire sys_err = 1'b0;
18
reg [7:0] cnt;
19
wire wr_empty = 1'b1;
20
wire wr_full;
21
reg [63:0] romout;
22
wire stk_ack;
23
wire scr_ack;
24
wire br_ack;
25
wire [63:0] br_dato;
26
wire [63:0] stk_dato;
27
wire [63:0] scr_dato;
28
wire [15:0] tc_dato;
29
wire [15:0] pic_dato;
30
wire tc_ack;
31
wire pic_ack;
32
reg pulse1000Hz,pulse100Hz;
33
wire [7:0] config_rec;
34
reg [7:0] config_reco;
35
//wire sm_ack;
36
wire [7:0] sm_dato;
37
wire [8:0] vecno;
38
wire [2:0] cpu_cti;
39
 
40
wire uart_ack = sys_iocyc && sys_stb && (sys_adr[23:8]==16'hDC_0A);
41
wire rast_ack = sys_iocyc && sys_stb && (sys_adr[23:8]==16'hDA_01);
42
wire AC97_ack = sys_iocyc && sys_stb && (sys_adr[23:8]==16'hDC_10);
43
wire spr_ack =  sys_iocyc && sys_stb && (sys_adr[23:16]==8'hD8);
44
wire Led_ack =  sys_iocyc && sys_stb && (sys_adr[23:8]==16'hDC_06);
45
wire dt_ack  =  sys_iocyc && sys_stb && (sys_adr[23:8]==16'hDC_04);
46
wire p100ack =  sys_iocyc && sys_stb && (sys_adr[23:0]==24'hDCFFFC);
47
wire p1000ack =  sys_iocyc && sys_stb && (sys_adr[23:0]==24'hDCFFFD);
48
wire config_rec_ack = sys_iocyc && sys_stb && sys_adr[23:3]==21'b1101_1100_1111_1111_1111_0;
49
wire perr_ack = sys_iocyc && sys_stb && sys_adr[23:0]==24'hDCFFFE;
50
wire tmp_ack = sys_iocyc && sys_stb && (sys_adr[23:8]==16'hDC03);
51
wire sm_ack =  sys_iocyc && sys_stb && (sys_adr[23:16]==8'hDB);
52
wire mmu_ack = sys_iocyc && sys_stb && (sys_adr[23:12]==12'hDC4);
53
 
54
//assign ram_ack = sys_cyc && sys_stb && (sys_adr[63:32]==32'd1);
55
 
56
assign config_rec = 8'b0000_0111;
57
 
58
always @(config_rec_ack)
59
        config_reco <= config_rec_ack ? config_rec : 8'd0;
60
 
61
wire cs_ram = sys_cyc && sys_stb && (sys_adr[63:32]==32'd1);
62
reg [63:0] sysram [0:16000];
63
always @(posedge clk)
64
if (cs_ram & sys_we) begin
65
        $display("Wrote ram[%h]=%h", sys_adr, sys_dbo);
66
        sysram[sys_adr[15:3]] <= sys_dbo;
67
end
68
wire [63:0] ramo = cs_ram ? sysram[sys_adr[15:3]] : 64'd0;
69
reg ack1,ack2,ack3,ack4,ack5,ack6,ack7,ack8;
70
always @(posedge clk)
71
begin
72
        ack1 <= cs_ram;
73
        ack2 <= ack1 & cs_ram;
74
        ack3 <= ack2 & cs_ram;
75
        ack4 <= ack3 & cs_ram;
76
        ack5 <= ack4 & cs_ram;
77
        ack6 <= ack5 & cs_ram;
78
        ack7 <= ack6 & cs_ram;
79
        ack8 <= ack7 & cs_ram;
80
end
81
wire ram_ack = cs_ram & ack8;
82
 
83
assign sys_ack = br_ack|stk_ack|scr_ack|tc_ack|pic_ack|ram_ack|uart_ack|rast_ack|
84
        AC97_ack|spr_ack|Led_ack|dt_ack|
85
        p100ack|p1000ack|config_rec_ack|tmp_ack|perr_ack|sm_ack|mmu_ack;
86
 
87
initial begin
88
        clk = 1;
89
        pulse1000Hz = 0;
90
        pulse100Hz = 0;
91
        rst = 0;
92
        nmi = 0;
93
        #100 rst = 1;
94
        #100 rst = 0;
95
        #800 nmi = 1;
96
        #100 nmi = 0;
97
end
98
 
99
always #20 clk = ~clk;  //  25 MHz
100
always #29930 pulse1000Hz = 1;
101
always #130 pulse1000Hz = 0;
102
always #299030 pulse100Hz = 1;
103
always #130 pulse100Hz = 0;
104
 
105
 
106
reg pulse1000HzB,pulse100HzB;
107
always @(posedge clk)
108
if (rst) begin
109
        pulse1000HzB <= 1'b0;
110
        pulse100HzB <= 1'b0;
111
end
112
else begin
113
        if (pulse1000Hz)
114
                pulse1000HzB <= 1'b1;
115
        else begin
116
        if (p1000ack)
117
                pulse1000HzB <= 1'b0;
118
        end
119
        if (pulse100Hz)
120
                pulse100HzB <= 1'b1;
121
        else begin
122
        if (p100ack)
123
                pulse100HzB <= 1'b0;
124
        end
125
end
126
 
127
sema_mem usm
128
(
129
        .rst_i(rst),
130
        .clk_i(clk),
131
        .cyc_i(sys_iocyc),
132
        .stb_i(sys_stb),
133
        .ack_o(sm_ack),
134
        .we_i(sys_we),
135
        .adr_i(sys_adr[23:0]),
136
        .dat_i(sys_dbo[7:0]),
137
        .dat_o(sm_dato)
138
);
139
 
140
 
141
rtfTextController tc1
142
(
143
        .rst_i(rst),
144
        .clk_i(clk),
145
        .cyc_i(sys_iocyc),
146
        .stb_i(sys_stb),
147
        .ack_o(tc_ack),
148
        .we_i(sys_we),
149
        .sel_i(sys_sel[1:0]|sys_sel[3:2]|sys_sel[5:4]|sys_sel[7:6]),
150
        .adr_i(sys_adr),
151
        .dat_i(sys_dbo[15:0]),
152
        .dat_o(tc_dato),
153
        .lp(),
154
        .curpos(),
155
        .vclk(),
156
        .hsync(),
157
        .vsync(),
158
        .blank(),
159
        .border(),
160
        .rgbIn(),
161
        .rgbOut()
162
);
163
 
164
scratchmem u_sc
165
(
166
        .clk_i(clk),
167
        .cyc_i(sys_cyc),
168
        .stb_i(sys_stb),
169
        .ack_o(scr_ack),
170
        .we_i(sys_we),
171
        .sel_i(sys_sel),
172
        .adr_i(sys_adr),
173
        .dat_i(sys_dbo),
174
        .dat_o(scr_dato)
175
);
176
 
177
stkmem u_stk
178
(
179
        .clk_i(clk),
180
        .cyc_i(sys_cyc),
181
        .stb_i(sys_stb),
182
        .ack_o(stk_ack),
183
        .we_i(sys_we),
184
        .adr_i(sys_adr),
185
        .dat_i(sys_dbo),
186
        .dat_o(stk_dato)
187
);
188
 
189
bootrom u_br
190
(
191
        .clk_i(clk),
192
        .cti_i(cpu_cti),
193
        .cyc_i(sys_cyc),
194
        .stb_i(sys_stb),
195
        .ack_o(br_ack),
196
        .adr_i(sys_adr),
197
        .dat_o(br_dato)
198
);
199
 
200
 
201
RaptorPIC u_pic
202
(
203
        .rst_i(rst),            // reset
204
        .clk_i(clk),    // system clock
205
        .cyc_i(sys_iocyc),      // cycle valid
206
        .stb_i(sys_stb),        // strobe
207
        .ack_o(pic_ack),        // transfer acknowledge
208
        .we_i(sys_we),          // write
209
        .sel_i(sys_sel[1:0]|sys_sel[3:2]|sys_sel[5:4]|sys_sel[7:6]),                     // byte select
210
        .adr_i(sys_adr),        // address
211
        .dat_i(sys_dbo[15:0]),
212
        .dat_o(pic_dato),
213
        .vol_o(),                       // volatile register selected
214
        .i1(),
215
        .i2(pulse1000HzB),
216
        .i3(pulse100HzB),
217
        .i4(), .i5(), .i6(), .i7(),
218
        .i8(), .i9(), .i10(), .i11(), .i12(), .i13(), .i14(),
219
        .i15(),
220
        .irqo(cpu_irq), // normally connected to the processor irq
221
        .nmii(nmi),             // nmi input connected to nmi requester
222
        .nmio(cpu_nmi), // normally connected to the nmi of cpu
223
        .vecno(vecno)
224
);
225
 
226
 
227
 
228
reg [63:0] keybdout;
229
always @(sys_adr)
230
        if (sys_adr==24'hDC_0000) begin
231
                $display ("keyboard=FF");
232
                keybdout <= 64'hFFFF_FFFF_FFFF_FFFF;
233
        end
234
        else
235
                keybdout <= 64'd0;
236
 
237
always @(sys_adr)
238
case(sys_adr)// | 64'hFFFF_FFFF_FFFF_0000)
239
64'hFFFFFFFFFFFFE800:   romout <= 64'h000030000000000A;
240
64'hFFFFFFFFFFFFE808:   romout <= 64'h0BEFFFEFFF800000;
241
64'hFFFFFFFFFFFFE810:   romout <= 64'hFFE920C7FFFFFA66;
242
64'hFFFFFFFFFFFFE818:   romout <= 64'h0000000004031FFF;
243
64'hFFFFFFFFFFFFE820:   romout <= 64'h001050A3000000CE;
244
64'hFFFFFFFFFFFFE828:   romout <= 64'h1080600041462018;
245
64'hFFFFFFFFFFFFE830:   romout <= 64'h001058A300000020;
246
64'hFFFFFFFFFFFFE838:   romout <= 64'h1080400041662018;
247
64'hFFFFFFFFFFFFE840:   romout <= 64'h0002210804000416;
248
64'hFFFFFFFFFFFFE848:   romout <= 64'h0000000000DBE218;
249
64'hFFFFFFFFFFFFE850:   romout <= 64'hFFEBD10806000414;
250
64'hFFFFFFFFFFFFE858:   romout <= 64'h0C7FFFFFAE131FFF;
251
64'hFFFFFFFFFFFFE860:   romout <= 64'h0010618800000416;
252
64'hFFFFFFFFFFFFE868:   romout <= 64'h0A1FFFFE90062000;
253
64'hFFFFFFFFFFFFE870:   romout <= 64'hFFEF94E1FFFFFFFF;
254
64'hFFFFFFFFFFFFE878:   romout <= 64'h00802000AA831FFF;
255
64'hFFFFFFFFFFFFE880:   romout <= 64'h50C842F840000129;
256
64'hFFFFFFFFFFFFE888:   romout <= 64'h008400008A9285D9;
257
64'hFFFFFFFFFFFFE890:   romout <= 64'h72EA6008400009A9;
258
64'hFFFFFFFFFFFFE898:   romout <= 64'h00840000929287FB;
259
64'hFFFFFFFFFFFFE8A0:   romout <= 64'hFFF2440840000A29;
260
64'hFFFFFFFFFFFFE8A8:   romout <= 64'h2F80000002A33FFF;
261
64'hFFFFFFFFFFFFE8B0:   romout <= 64'h00000C3FBC000018;
262
64'hFFFFFFFFFFFFE8B8:   romout <= 64'h0A2FFFFE8F09FD00;
263
64'hFFFFFFFFFFFFE8C0:   romout <= 64'h0003210082000000;
264
64'hFFFFFFFFFFFFE8C8:   romout <= 64'h0C7FFFFFA58BE100;
265
64'hFFFFFFFFFFFFE8D0:   romout <= 64'hFFFE282884000001;
266
64'hFFFFFFFFFFFFE8D8:   romout <= 64'h27740000003BE007;
267
64'hFFFFFFFFFFFFE8E0:   romout <= 64'h000000DFBE000018;
268
64'hFFFFFFFFFFFFE8E8:   romout <= 64'h37800000000DE000;
269
64'hFFFFFFFFFFFFE8F0:   romout <= 64'h6F57206F6C6C6548;
270
64'hFFFFFFFFFFFFE8F8:   romout <= 64'h0000000021646C72;
271
64'hFFFFFFFFFFFFE900:   romout <= 64'h3436726F74706152;
272
64'hFFFFFFFFFFFFE908:   romout <= 64'h206D657473797320;
273
64'hFFFFFFFFFFFFE910:   romout <= 64'h676E697472617473;
274
64'hFFFFFFFFFFFFE918:   romout <= 64'h000000002E2E2E2E;
275
64'hFFFFFFFFFFFFE920:   romout <= 64'h703FC8A1FFFF8007;
276
64'hFFFFFFFFFFFFE928:   romout <= 64'h0DFBE0000009200F;
277
64'hFFFFFFFFFFFFE930:   romout <= 64'h0000003FBC000008;
278
64'hFFFFFFFFFFFFE938:   romout <= 64'h0A1FFDC0A0067E18;
279
64'hFFFFFFFFFFFFE940:   romout <= 64'h0000060046000001;
280
64'hFFFFFFFFFFFFE948:   romout <= 64'h2F8C000000814318;
281
64'hFFFFFFFFFFFFE950:   romout <= 64'h0000011F86000000;
282
64'hFFFFFFFFFFFFE958:   romout <= 64'h0DFBE00000880108;
283
64'hFFFFFFFFFFFFE960:   romout <= 64'h0000203FBC000010;
284
64'hFFFFFFFFFFFFE968:   romout <= 64'h19F8600000067E10;
285
64'hFFFFFFFFFFFFE970:   romout <= 64'h000004A3FFDC0A00;
286
64'hFFFFFFFFFFFFE978:   romout <= 64'h0508400004080310;
287
64'hFFFFFFFFFFFFE980:   romout <= 64'h000002F881FFFFA8;
288
64'hFFFFFFFFFFFFE988:   romout <= 64'h11F8600000090308;
289
64'hFFFFFFFFFFFFE990:   romout <= 64'h0000411F84000008;
290
64'hFFFFFFFFFFFFE998:   romout <= 64'h1800000045037EF8;
291
64'hFFFFFFFFFFFFE9A0:   romout <= 64'h0000058000000451;
292
64'hFFFFFFFFFFFFE9A8:   romout <= 64'h1800200041A28400;
293
64'hFFFFFFFFFFFFE9B0:   romout <= 64'h000060DFBE000000;
294
64'hFFFFFFFFFFFFE9B8:   romout <= 64'h27F000000070FEF0;
295
64'hFFFFFFFFFFFFE9C0:   romout <= 64'h00003D2802000450;
296
64'hFFFFFFFFFFFFE9C8:   romout <= 64'h0A30000044014108;
297
64'hFFFFFFFFFFFFE9D0:   romout <= 64'h700000E300000000;
298
64'hFFFFFFFFFFFFE9D8:   romout <= 64'h24801DC000282017;
299
64'hFFFFFFFFFFFFE9E0:   romout <= 64'h000005A8C2200010;
300
64'hFFFFFFFFFFFFE9E8:   romout <= 64'h0504200000F0A108;
301
64'hFFFFFFFFFFFFE9F0:   romout <= 64'h0011458002000450;
302
64'hFFFFFFFFFFFFE9F8:   romout <= 64'h2F8440001094A010;
303
64'hFFFFFFFFFFFFEA00:   romout <= 64'h00003C2884000001;
304
64'hFFFFFFFFFFFFEA08:   romout <= 64'h1800400045114210;
305
64'hFFFFFFFFFFFFEA10:   romout <= 64'h0000627700000007;
306
64'hFFFFFFFFFFFFEA18:   romout <= 64'h0DFBE0000000BEF0;
307
64'hFFFFFFFFFFFFEA20:   romout <= 64'h000001800200041A;
308
64'hFFFFFFFFFFFFEA28:   romout <= 64'h03FBC00001037EF8;
309
64'hFFFFFFFFFFFFEA30:   romout <= 64'h0011467F00000006;
310
64'hFFFFFFFFFFFFEA38:   romout <= 64'h128020004504A010;
311
64'hFFFFFFFFFFFFEA40:   romout <= 64'h000002F844000148;
312
64'hFFFFFFFFFFFFEA48:   romout <= 64'h1A8C410000528C00;
313
64'hFFFFFFFFFFFFEA50:   romout <= 64'h00003C2884000001;
314
64'hFFFFFFFFFFFFEA58:   romout <= 64'h1800400045114210;
315
64'hFFFFFFFFFFFFEA60:   romout <= 64'h0000427700000006;
316
64'hFFFFFFFFFFFFEA68:   romout <= 64'h0A1FFFFFFFF37EF8;
317
64'hFFFFFFFFFFFFEA70:   romout <= 64'h0000427700000006;
318
64'hFFFFFFFFFFFFEA78:   romout <= 64'h1280200045137EF8;
319
64'hFFFFFFFFFFFFEA80:   romout <= 64'h0002A12804000450;
320
64'hFFFFFFFFFFFFEA88:   romout <= 64'h0A100000001BE110;
321
64'hFFFFFFFFFFFFEA90:   romout <= 64'h400028DFBE000000;
322
64'hFFFFFFFFFFFFEA98:   romout <= 64'h0DFBE00000004108;
323
64'hFFFFFFFFFFFFEAA0:   romout <= 64'h0000083FBC000010;
324
64'hFFFFFFFFFFFFEAA8:   romout <= 64'h0A2FFDC00009FD00;
325
64'hFFFFFFFFFFFFEAB0:   romout <= 64'h0000060082000000;
326
64'hFFFFFFFFFFFFEAB8:   romout <= 64'h20080000002BE100;
327
64'hFFFFFFFFFFFFEAC0:   romout <= 64'h00106850420000FF;
328
64'hFFFFFFFFFFFFEAC8:   romout <= 64'h2F8800000C840010;
329
64'hFFFFFFFFFFFFEAD0:   romout <= 64'hFFED4AC04514000D;
330
64'hFFFFFFFFFFFFEAD8:   romout <= 64'h2774000000231FFF;
331
64'hFFFFFFFFFFFFEAE0:   romout <= 64'h000060DFBE000010;
332
64'hFFFFFFFFFFFFEAE8:   romout <= 64'h27F400000030FEF0;
333
64'hFFFFFFFFFFFFEAF0:   romout <= 64'h0000051802000400;
334
64'hFFFFFFFFFFFFEAF8:   romout <= 64'h1980200040008108;
335
64'hFFFFFFFFFFFFEB00:   romout <= 64'h000378A2FFD00000;
336
64'hFFFFFFFFFFFFEB08:   romout <= 64'h0284200000142208;
337
64'hFFFFFFFFFFFFEB10:   romout <= 64'hFC000188820000DE;
338
64'hFFFFFFFFFFFFEB18:   romout <= 64'h1180200040042007;
339
64'hFFFFFFFFFFFFEB20:   romout <= 64'h400100504200007F;
340
64'hFFFFFFFFFFFFEB28:   romout <= 64'h0C7FFFFFACEB2100;
341
64'hFFFFFFFFFFFFEB30:   romout <= 64'h0000627740000003;
342
64'hFFFFFFFFFFFFEB38:   romout <= 64'h03FBC00002037EF8;
343
64'hFFFFFFFFFFFFEB40:   romout <= 64'hFFED0A7F40000007;
344
64'hFFFFFFFFFFFFEB48:   romout <= 64'h0284201000031FFF;
345
64'hFFFFFFFFFFFFEB50:   romout <= 64'h0000050844000000;
346
64'hFFFFFFFFFFFFEB58:   romout <= 64'h188440000000A210;
347
64'hFFFFFFFFFFFFEB60:   romout <= 64'h0003211804000408;
348
64'hFFFFFFFFFFFFEB68:   romout <= 64'h10806000414BE110;
349
64'hFFFFFFFFFFFFEB70:   romout <= 64'h0010218886000000;
350
64'hFFFFFFFFFFFFEB78:   romout <= 64'h2774000000766008;
351
64'hFFFFFFFFFFFFEB80:   romout <= 64'h0000A0DFBE000020;
352
64'hFFFFFFFFFFFFEB88:   romout <= 64'h27F4000000F0FEF0;
353
64'hFFFFFFFFFFFFEB90:   romout <= 64'h000C00A100000554;
354
64'hFFFFFFFFFFFFEB98:   romout <= 64'h0104420001828800;
355
64'hFFFFFFFFFFFFEBA0:   romout <= 64'hA4A4A41004400009;
356
64'hFFFFFFFFFFFFEBA8:   romout <= 64'h0A300200000284A4;
357
64'hFFFFFFFFFFFFEBB0:   romout <= 64'h000000E300000001;
358
64'hFFFFFFFFFFFFEBB8:   romout <= 64'h028C600000464308;
359
64'hFFFFFFFFFFFFEBC0:   romout <= 64'h00003EF805FFFFAF;
360
64'hFFFFFFFFFFFFEBC8:   romout <= 64'h0DFBE0000289DD00;
361
64'hFFFFFFFFFFFFEBD0:   romout <= 64'h00003C3FBC000028;
362
64'hFFFFFFFFFFFFEBD8:   romout <= 64'h0A3FFDA00009FD00;
363
64'hFFFFFFFFFFFFEBE0:   romout <= 64'h00000908C2000000;
364
64'hFFFFFFFFFFFFEBE8:   romout <= 64'h0104420001842310;
365
64'hFFFFFFFFFFFFEBF0:   romout <= 64'h001050A100000020;
366
64'hFFFFFFFFFFFFEBF8:   romout <= 64'h0C7FFFFFB3242020;
367
64'hFFFFFFFFFFFFEC00:   romout <= 64'h000000A3FFD00000;
368
64'hFFFFFFFFFFFFEC08:   romout <= 64'h188C801000062308;
369
64'hFFFFFFFFFFFFEC10:   romout <= 64'hFFFEBC28C6000002;
370
64'hFFFFFFFFFFFFEC18:   romout <= 64'h2774000000FBE017;
371
64'hFFFFFFFFFFFFEC20:   romout <= 64'h0000A0DFBE000028;
372
64'hFFFFFFFFFFFFEC28:   romout <= 64'h27F4000000F0FEF0;
373
64'hFFFFFFFFFFFFEC30:   romout <= 64'h000000A3FFDA0000;
374
64'hFFFFFFFFFFFFEC38:   romout <= 64'h108C400000242308;
375
64'hFFFFFFFFFFFFEC40:   romout <= 64'h8000141044200018;
376
64'hFFFFFFFFFFFFEC48:   romout <= 64'h0A3FFD0000004208;
377
64'hFFFFFFFFFFFFEC50:   romout <= 64'h000001A8C2400001;
378
64'hFFFFFFFFFFFFEC58:   romout <= 64'h028C600000262320;
379
64'hFFFFFFFFFFFFEC60:   romout <= 64'h680002F805FFFF8F;
380
64'hFFFFFFFFFFFFEC68:   romout <= 64'h108C200000228FFF;
381
64'hFFFFFFFFFFFFEC70:   romout <= 64'hFFEC843842000001;
382
64'hFFFFFFFFFFFFEC78:   romout <= 64'h2774000000F31FFF;
383
64'hFFFFFFFFFFFFEC80:   romout <= 64'h000060DFBE000028;
384
64'hFFFFFFFFFFFFEC88:   romout <= 64'h27F000000070FEF0;
385
64'hFFFFFFFFFFFFEC90:   romout <= 64'h000000A3FFDA0000;
386
64'hFFFFFFFFFFFFEC98:   romout <= 64'h0108230001842310;
387
64'hFFFFFFFFFFFFECA0:   romout <= 64'h40000018C6080000;
388
64'hFFFFFFFFFFFFECA8:   romout <= 64'h0A1000000200A31F;
389
64'hFFFFFFFFFFFFECB0:   romout <= 64'h00000988C2000000;
390
64'hFFFFFFFFFFFFECB8:   romout <= 64'h2F80400000F0A318;
391
64'hFFFFFFFFFFFFECC0:   romout <= 64'h0000627700000007;
392
64'hFFFFFFFFFFFFECC8:   romout <= 64'h050420000FF37EF8;
393
64'hFFFFFFFFFFFFECD0:   romout <= 64'h60016AA040180041;
394
64'hFFFFFFFFFFFFECD8:   romout <= 64'h2B84018007AAC100;
395
64'hFFFFFFFFFFFFECE0:   romout <= 64'h000182A040080061;
396
64'hFFFFFFFFFFFFECE8:   romout <= 64'h058420001000C108;
397
64'hFFFFFFFFFFFFECF0:   romout <= 64'h0003FCDFBE000000;
398
64'hFFFFFFFFFFFFECF8:   romout <= 64'h2B84014001A14108;
399
64'hFFFFFFFFFFFFED00:   romout <= 64'h000000284200003C;
400
64'hFFFFFFFFFFFFED08:   romout <= 64'h1080200041637EF8;
401
64'hFFFFFFFFFFFFED10:   romout <= 64'h680000504200007F;
402
64'hFFFFFFFFFFFFED18:   romout <= 64'h208C400000028FFF;
403
64'hFFFFFFFFFFFFED20:   romout <= 64'h0010601082200018;
404
64'hFFFFFFFFFFFFED28:   romout <= 64'h0504200007F42008;
405
64'hFFFFFFFFFFFFED30:   romout <= 64'h0000581082200003;
406
64'hFFFFFFFFFFFFED38:   romout <= 64'h0188408000092310;
407
64'hFFFFFFFFFFFFED40:   romout <= 64'h0000002883D00000;
408
64'hFFFFFFFFFFFFED48:   romout <= 64'h2C84018000D37EF8;
409
64'hFFFFFFFFFFFFED50:   romout <= 64'h0000018800000418;
410
64'hFFFFFFFFFFFFED58:   romout <= 64'h2C84038009137EF8;
411
64'hFFFFFFFFFFFFED60:   romout <= 64'h0000003FBC000008;
412
64'hFFFFFFFFFFFFED68:   romout <= 64'h1080400041867E10;
413
64'hFFFFFFFFFFFFED70:   romout <= 64'h000006C080100038;
414
64'hFFFFFFFFFFFFED78:   romout <= 64'h188040004180A210;
415
64'hFFFFFFFFFFFFED80:   romout <= 64'h0000211F84000000;
416
64'hFFFFFFFFFFFFED88:   romout <= 64'h2C84034009037EF8;
417
64'hFFFFFFFFFFFFED90:   romout <= 64'h0000003FBC000008;
418
64'hFFFFFFFFFFFFED98:   romout <= 64'h1080400041667E10;
419
64'hFFFFFFFFFFFFEDA0:   romout <= 64'h000006C0BFE00000;
420
64'hFFFFFFFFFFFFEDA8:   romout <= 64'h188040004160E210;
421
64'hFFFFFFFFFFFFEDB0:   romout <= 64'hC0024EF801FFFE8A;
422
64'hFFFFFFFFFFFFEDB8:   romout <= 64'h03FBC000008B2100;
423
64'hFFFFFFFFFFFFEDC0:   romout <= 64'h0010619F84000000;
424
64'hFFFFFFFFFFFFEDC8:   romout <= 64'h2C0BFC0000042010;
425
64'hFFFFFFFFFFFFEDD0:   romout <= 64'h0010603884000001;
426
64'hFFFFFFFFFFFFEDD8:   romout <= 64'h2F801FFFD8A62010;
427
64'hFFFFFFFFFFFFEDE0:   romout <= 64'h000022C840280092;
428
64'hFFFFFFFFFFFFEDE8:   romout <= 64'h19F840000000FEF0;
429
64'hFFFFFFFFFFFFEDF0:   romout <= 64'h4000790804000416;
430
64'hFFFFFFFFFFFFEDF8:   romout <= 64'h02884000001B02FE;
431
64'hFFFFFFFFFFFFEE00:   romout <= 64'hFFF0298804000416;
432
64'hFFFFFFFFFFFFEE08:   romout <= 64'h2C840380094BE007;
433
64'hFFFFFFFFFFFFEE10:   romout <= 64'h0000003FBC000008;
434
64'hFFFFFFFFFFFFEE18:   romout <= 64'h1080400041867E10;
435
64'hFFFFFFFFFFFFEE20:   romout <= 64'h001062F880000088;
436
64'hFFFFFFFFFFFFEE28:   romout <= 64'h2F801FFFB0A62000;
437
64'hFFFFFFFFFFFFEE30:   romout <= 64'hFFEA298800000416;
438
64'hFFFFFFFFFFFFEE38:   romout <= 64'h03FBC000030BE007;
439
64'hFFFFFFFFFFFFEE40:   romout <= 64'h8002667F4000001F;
440
64'hFFFFFFFFFFFFEE48:   romout <= 64'h0C7FFFFFB42B2100;
441
64'hFFFFFFFFFFFFEE50:   romout <= 64'h0010601002300009;
442
64'hFFFFFFFFFFFFEE58:   romout <= 64'h2F8000001CA42008;
443
64'hFFFFFFFFFFFFEE60:   romout <= 64'h001062C840600008;
444
64'hFFFFFFFFFFFFEE68:   romout <= 64'h2F8800004C842010;
445
64'hFFFFFFFFFFFFEE70:   romout <= 64'h0010603884000001;
446
64'hFFFFFFFFFFFFEE78:   romout <= 64'h0C7FFFFFB4262010;
447
64'hFFFFFFFFFFFFEE80:   romout <= 64'h0010601002300009;
448
64'hFFFFFFFFFFFFEE88:   romout <= 64'h108C400000242008;
449
64'hFFFFFFFFFFFFEE90:   romout <= 64'h00000988C4000000;
450
64'hFFFFFFFFFFFFEE98:   romout <= 64'h028420000010A318;
451
64'hFFFFFFFFFFFFEEA0:   romout <= 64'h000000A4FFDA0000;
452
64'hFFFFFFFFFFFFEEA8:   romout <= 64'h2F84BFFFF4482428;
453
64'hFFFFFFFFFFFFEEB0:   romout <= 64'hFFFFF8A200000020;
454
64'hFFFFFFFFFFFFEEB8:   romout <= 64'h2F80000024A62317;
455
64'hFFFFFFFFFFFFEEC0:   romout <= 64'h000026C04034000A;
456
64'hFFFFFFFFFFFFEEC8:   romout <= 64'h0C7FFFFFB4204009;
457
64'hFFFFFFFFFFFFEED0:   romout <= 64'h4000241002300009;
458
64'hFFFFFFFFFFFFEED8:   romout <= 64'h0C7FFFFFB3204020;
459
64'hFFFFFFFFFFFFEEE0:   romout <= 64'hFFEF058803D00000;
460
64'hFFFFFFFFFFFFEEE8:   romout <= 64'h2774000001F31FFF;
461
64'hFFFFFFFFFFFFEEF0:   romout <= 64'hFFEF44DFBE000030;
462
64'hFFFFFFFFFFFFEEF8:   romout <= 64'h2774000001F31FFF;
463
64'hFFFFFFFFFFFFEF00:   romout <= 64'h000080DFBE000030;
464
64'hFFFFFFFFFFFFEF08:   romout <= 64'h27F400000070FEF0;
465
64'hFFFFFFFFFFFFEF10:   romout <= 64'h000058A3FFDA0000;
466
64'hFFFFFFFFFFFFEF18:   romout <= 64'h0284200000182308;
467
64'hFFFFFFFFFFFFEF20:   romout <= 64'h00106248C2000016;
468
64'hFFFFFFFFFFFFEF28:   romout <= 64'h0284200000142008;
469
64'hFFFFFFFFFFFFEF30:   romout <= 64'h0000018802000418;
470
64'hFFFFFFFFFFFFEF38:   romout <= 64'h2F8440002C682310;
471
64'hFFFFFFFFFFFFEF40:   romout <= 64'h0010598800000418;
472
64'hFFFFFFFFFFFFEF48:   romout <= 64'h0284200000142008;
473
64'hFFFFFFFFFFFFEF50:   romout <= 64'h6800018802000416;
474
64'hFFFFFFFFFFFFEF58:   romout <= 64'h208C400000228FFF;
475
64'hFFFFFFFFFFFFEF60:   romout <= 64'h000006F844000146;
476
64'hFFFFFFFFFFFFEF68:   romout <= 64'h188040004160E210;
477
64'hFFFFFFFFFFFFEF70:   romout <= 64'h00005908C4000000;
478
64'hFFFFFFFFFFFFEF78:   romout <= 64'h0104410000542308;
479
64'hFFFFFFFFFFFFEF80:   romout <= 64'hFFEC2588C2000016;
480
64'hFFFFFFFFFFFFEF88:   romout <= 64'h2774000000731FFF;
481
64'hFFFFFFFFFFFFEF90:   romout <= 64'h000060DFBE000020;
482
64'hFFFFFFFFFFFFEF98:   romout <= 64'h27F400000030DEF0;
483
64'hFFFFFFFFFFFFEFA0:   romout <= 64'h0000001040200009;
484
64'hFFFFFFFFFFFFEFA8:   romout <= 64'h028840000014A208;
485
64'hFFFFFFFFFFFFEFB0:   romout <= 64'hFFED4AF840000088;
486
64'hFFFFFFFFFFFFEFB8:   romout <= 64'h2F801FFFFAA31FFF;
487
64'hFFFFFFFFFFFFEFC0:   romout <= 64'h0000627740000003;
488
64'hFFFFFFFFFFFFEFC8:   romout <= 64'h03FBC00000837EF8;
489
64'hFFFFFFFFFFFFEFD0:   romout <= 64'hFFEF959FBE000000;
490
64'hFFFFFFFFFFFFEFD8:   romout <= 64'h11FBE00000031FFF;
491
64'hFFFFFFFFFFFFEFE0:   romout <= 64'h0000402FBC000008;
492
64'hFFFFFFFFFFFFEFE8:   romout <= 64'h19F820000000DEF0;
493
64'hFFFFFFFFFFFFEFF0:   romout <= 64'h0000359FBE000008;
494
64'hFFFFFFFFFFFFEFF8:   romout <= 64'h0C7FFFFFB5228400;
495
64'hFFFFFFFFFFFFF000:   romout <= 64'hFFED48A10000000A;
496
64'hFFFFFFFFFFFFF008:   romout <= 64'h11F8200000031FFF;
497
64'hFFFFFFFFFFFFF010:   romout <= 64'h0000411FBE000008;
498
64'hFFFFFFFFFFFFF018:   romout <= 64'h037BC00001037EF8;
499
64'hFFFFFFFFFFFFF020:   romout <= 64'h0000019FBE000008;
500
64'hFFFFFFFFFFFFF028:   romout <= 64'h0504200000F67E08;
501
64'hFFFFFFFFFFFFF030:   romout <= 64'h4000E42042000030;
502
64'hFFFFFFFFFFFFF038:   romout <= 64'h02042000007AC100;
503
64'hFFFFFFFFFFFFF040:   romout <= 64'h000000C7FFFFFB52;
504
64'hFFFFFFFFFFFFF048:   romout <= 64'h11FBE00000847E08;
505
64'hFFFFFFFFFFFFF050:   romout <= 64'h000040DFBE000010;
506
64'hFFFFFFFFFFFFF058:   romout <= 64'h27F400000010FEF0;
507
64'hFFFFFFFFFFFFF060:   romout <= 64'hFFF0181842200004;
508
64'hFFFFFFFFFFFFF068:   romout <= 64'h0184220000231FFF;
509
64'hFFFFFFFFFFFFF070:   romout <= 64'h000004C7FFFFFC06;
510
64'hFFFFFFFFFFFFF078:   romout <= 64'h0DFBE0000109DD00;
511
64'hFFFFFFFFFFFFF080:   romout <= 64'h0000143FBC000018;
512
64'hFFFFFFFFFFFFF088:   romout <= 64'h0A3000000079FD00;
513
64'hFFFFFFFFFFFFF090:   romout <= 64'hFFF0541842400002;
514
64'hFFFFFFFFFFFFF098:   romout <= 64'h2F80600000F31FFF;
515
64'hFFFFFFFFFFFFF0A0:   romout <= 64'h0000627740000005;
516
64'hFFFFFFFFFFFFF0A8:   romout <= 64'h0A10000003A37EF8;
517
64'hFFFFFFFFFFFFF0B0:   romout <= 64'h400024C7FFFFFB52;
518
64'hFFFFFFFFFFFFF0B8:   romout <= 64'h0C7FFFFFC2004200;
519
64'hFFFFFFFFFFFFF0C0:   romout <= 64'h000080A300000007;
520
64'hFFFFFFFFFFFFF0C8:   romout <= 64'h0C7FFFFFB5228400;
521
64'hFFFFFFFFFFFFF0D0:   romout <= 64'hFFF0550082000000;
522
64'hFFFFFFFFFFFFF0D8:   romout <= 64'h0288400000131FFF;
523
64'hFFFFFFFFFFFFF0E0:   romout <= 64'hFFEFE6F807FFFF2F;
524
64'hFFFFFFFFFFFFF0E8:   romout <= 64'h03FBC00003033FFF;
525
64'hFFFFFFFFFFFFF0F0:   romout <= 64'h00002A7F000000FC;
526
64'hFFFFFFFFFFFFF0F8:   romout <= 64'h0A80000001328800;
527
64'hFFFFFFFFFFFFF100:   romout <= 64'h800000104430001C;
528
64'hFFFFFFFFFFFFF108:   romout <= 64'h0194FE000000631F;
529
64'hFFFFFFFFFFFFF110:   romout <= 64'h0000241908200001;
530
64'hFFFFFFFFFFFFF118:   romout <= 64'h0194A20000104439;
531
64'hFFFFFFFFFFFFF120:   romout <= 64'h0000001146500009;
532
64'hFFFFFFFFFFFFF128:   romout <= 64'h2F811FFFF0F1C108;
533
64'hFFFFFFFFFFFFF130:   romout <= 64'h0000001909800001;
534
64'hFFFFFFFFFFFFF138:   romout <= 64'h0110C40000906532;
535
64'hFFFFFFFFFFFFF140:   romout <= 64'h400024194B800001;
536
64'hFFFFFFFFFFFFF148:   romout <= 64'h0100A20000904020;
537
64'hFFFFFFFFFFFFF150:   romout <= 64'h0000C277000000FC;
538
64'hFFFFFFFFFFFFF158:   romout <= 64'h03FBC00002037EF8;
539
64'hFFFFFFFFFFFFF160:   romout <= 64'h00003E7F0000009C;
540
64'hFFFFFFFFFFFFF168:   romout <= 64'h0504400000F2A000;
541
64'hFFFFFFFFFFFFF170:   romout <= 64'h0000005884000030;
542
64'hFFFFFFFFFFFFF178:   romout <= 64'h0190840000106217;
543
64'hFFFFFFFFFFFFF180:   romout <= 64'h00002418CBC00000;
544
64'hFFFFFFFFFFFFF188:   romout <= 64'h018C640000104429;
545
64'hFFFFFFFFFFFFF190:   romout <= 64'h80000410C4300009;
546
64'hFFFFFFFFFFFFF198:   romout <= 64'h2F811FFFECF06108;
547
64'hFFFFFFFFFFFFF1A0:   romout <= 64'h8000241008100009;
548
64'hFFFFFFFFFFFFF1A8:   romout <= 64'h2770000009C04018;
549
64'hFFFFFFFFFFFFF1B0:   romout <= 64'h0000E0DFBE000020;
550
64'hFFFFFFFFFFFFF1B8:   romout <= 64'h27F400007C40FEF0;
551
64'hFFFFFFFFFFFFF1C0:   romout <= 64'hFFF0E81004B00009;
552
64'hFFFFFFFFFFFFF1C8:   romout <= 64'h01004A0000931FFF;
553
64'hFFFFFFFFFFFFF1D0:   romout <= 64'h000004C7FFFFFC56;
554
64'hFFFFFFFFFFFFF1D8:   romout <= 64'h0A8000000072A400;
555
64'hFFFFFFFFFFFFF1E0:   romout <= 64'h0000001A4E180000;
556
64'hFFFFFFFFFFFFF1E8:   romout <= 64'h029CE0000040A738;
557
64'hFFFFFFFFFFFFF1F0:   romout <= 64'hC0004050460000FF;
558
64'hFFFFFFFFFFFFF1F8:   romout <= 64'h018424000016A758;
559
64'hFFFFFFFFFFFFF200:   romout <= 64'h400026F811FFFF0F;
560
64'hFFFFFFFFFFFFF208:   romout <= 64'h2F813FFFECF04010;
561
64'hFFFFFFFFFFFFF210:   romout <= 64'hFFF1581014100009;
562
64'hFFFFFFFFFFFFF218:   romout <= 64'h0A80000000331FFF;
563
64'hFFFFFFFFFFFFF220:   romout <= 64'hC0004050460000FF;
564
64'hFFFFFFFFFFFFF228:   romout <= 64'h018424000016A858;
565
64'hFFFFFFFFFFFFF230:   romout <= 64'h000052F811FFFF8F;
566
64'hFFFFFFFFFFFFF238:   romout <= 64'h277400007C460B00;
567
64'hFFFFFFFFFFFFF240:   romout <= 64'hFFBFE0DFBE000038;
568
64'hFFFFFFFFFFFFF248:   romout <= 64'h1800000041A2FBFF;
569
64'hFFFFFFFFFFFFF250:   romout <= 64'h000090C7FFFFFBF9;
570
64'hFFFFFFFFFFFFF258:   romout <= 64'h0C7FFFFFB5228400;
571
64'hFFFFFFFFFFFFF260:   romout <= 64'h0FFFFCC7FFFFFA8A;
572
64'hFFFFFFFFFFFFF268:   romout <= 64'h2C04018000DB0100;
573
64'hFFFFFFFFFFFFF270:   romout <= 64'hFFFE28C7FFFFFB52;
574
64'hFFFFFFFFFFFFF278:   romout <= 64'h18800000418BE007;
575
64'hFFFFFFFFFFFFF280:   romout <= 64'hC00024C7FFFFFB42;
576
64'hFFFFFFFFFFFFF288:   romout <= 64'h108C200000004100;
577
64'hFFFFFFFFFFFFF290:   romout <= 64'hFFECF428C6000002;
578
64'hFFFFFFFFFFFFF298:   romout <= 64'h2C84020002431FFF;
579
64'hFFFFFFFFFFFFF2A0:   romout <= 64'h00000908C2000000;
580
64'hFFFFFFFFFFFFF2A8:   romout <= 64'h0C7FFFFFB3D0A318;
581
64'hFFFFFFFFFFFFF2B0:   romout <= 64'h000112C04130003A;
582
64'hFFFFFFFFFFFFF2B8:   romout <= 64'h2C075500042B0106;
583
64'hFFFFFFFFFFFFF2C0:   romout <= 64'h800132C04154004A;
584
64'hFFFFFFFFFFFFF2C8:   romout <= 64'h2C04050003FB0109;
585
64'hFFFFFFFFFFFFF2D0:   romout <= 64'hFFEEAAC040080043;
586
64'hFFFFFFFFFFFFF2D8:   romout <= 64'h108C2000000BE007;
587
64'hFFFFFFFFFFFFF2E0:   romout <= 64'hFFECF428C6000002;
588
64'hFFFFFFFFFFFFF2E8:   romout <= 64'h2C87F64004C31FFF;
589
64'hFFFFFFFFFFFFF2F0:   romout <= 64'h00000908C2000000;
590
64'hFFFFFFFFFFFFF2F8:   romout <= 64'h0C7FFFFFB3D0A318;
591
64'hFFFFFFFFFFFFF300:   romout <= 64'hFFEBD2C87F440053;
592
64'hFFFFFFFFFFFFF308:   romout <= 64'h2F801FFFA2A31FFF;
593
64'hFFFFFFFFFFFFF310:   romout <= 64'hFFEF94A1FFFFF320;
594
64'hFFFFFFFFFFFFF318:   romout <= 64'h2F801FFF9AA31FFF;
595
64'hFFFFFFFFFFFFF320:   romout <= 64'h70736944203D203F;
596
64'hFFFFFFFFFFFFF328:   romout <= 64'h706C65682079616C;
597
64'hFFFFFFFFFFFFF330:   romout <= 64'h203D20534C430A0D;
598
64'hFFFFFFFFFFFFF338:   romout <= 64'h6373207261656C63;
599
64'hFFFFFFFFFFFFF340:   romout <= 64'h203A0A0D6E656572;
600
64'hFFFFFFFFFFFFF348:   romout <= 64'h6D2074696445203D;
601
64'hFFFFFFFFFFFFF350:   romout <= 64'h79622079726F6D65;
602
64'hFFFFFFFFFFFFF358:   romout <= 64'h3D204C0A0D736574;
603
64'hFFFFFFFFFFFFF360:   romout <= 64'h31532064616F4C20;
604
64'hFFFFFFFFFFFFF368:   romout <= 64'h0A0D656C69662039;
605
64'hFFFFFFFFFFFFF370:   romout <= 64'h706D7544203D2044;
606
64'hFFFFFFFFFFFFF378:   romout <= 64'h0D79726F6D656D20;
607
64'hFFFFFFFFFFFFF380:   romout <= 64'h617473203D20420A;
608
64'hFFFFFFFFFFFFF388:   romout <= 64'h20796E6974207472;
609
64'hFFFFFFFFFFFFF390:   romout <= 64'h4A0A0D6369736162;
610
64'hFFFFFFFFFFFFF398:   romout <= 64'h20706D754A203D20;
611
64'hFFFFFFFFFFFFF3A0:   romout <= 64'h0D65646F63206F74;
612
64'hFFFFFFFFFFFFF3A8:   romout <= 64'hFFFFFFFFFFFF000A;
613
64'hFFFFFFFFFFFFF3B0:   romout <= 64'h0000003FBC000008;
614
64'hFFFFFFFFFFFFF3B8:   romout <= 64'h108C200000067EF8;
615
64'hFFFFFFFFFFFFF3C0:   romout <= 64'hFFECF428C6000002;
616
64'hFFFFFFFFFFFFF3C8:   romout <= 64'h2C07FF0002031FFF;
617
64'hFFFFFFFFFFFFF3D0:   romout <= 64'h00000038C6000002;
618
64'hFFFFFFFFFFFFF3D8:   romout <= 64'h0DFBE00000847EF8;
619
64'hFFFFFFFFFFFFF3E0:   romout <= 64'hFFF474C7FFFFFCEC;
620
64'hFFFFFFFFFFFFF3E8:   romout <= 64'h0104050000931FFF;
621
64'hFFFFFFFFFFFFF3F0:   romout <= 64'hFFF3B0A400000007;
622
64'hFFFFFFFFFFFFF3F8:   romout <= 64'h0C7FFFFFD1D31FFF;
623
64'hFFFFFFFFFFFFF400:   romout <= 64'h0000058142000000;
624
64'hFFFFFFFFFFFFF408:   romout <= 64'h2F809FFFFAF0A528;
625
64'hFFFFFFFFFFFFF410:   romout <= 64'hFFF3B2F801FFF1AA;
626
64'hFFFFFFFFFFFFF418:   romout <= 64'h0C7FFFFFD1D31FFF;
627
64'hFFFFFFFFFFFFF420:   romout <= 64'h0000001040300009;
628
64'hFFFFFFFFFFFFF428:   romout <= 64'h2F801FFF12A343F8;
629
64'hFFFFFFFFFFFFF430:   romout <= 64'hFFF474C7FFFFFCEC;
630
64'hFFFFFFFFFFFFF438:   romout <= 64'h0104020000931FFF;
631
64'hFFFFFFFFFFFFF440:   romout <= 64'hFFF0A8C7FFFFFBF9;
632
64'hFFFFFFFFFFFFF448:   romout <= 64'h0C7FFFFFC2A31FFF;
633
64'hFFFFFFFFFFFFF450:   romout <= 64'hFFF0A8C7FFFFFC2A;
634
64'hFFFFFFFFFFFFF458:   romout <= 64'h0C7FFFFFC2A31FFF;
635
64'hFFFFFFFFFFFFF460:   romout <= 64'hFFF0A8C7FFFFFC2A;
636
64'hFFFFFFFFFFFFF468:   romout <= 64'h0C7FFFFFC2A31FFF;
637
64'hFFFFFFFFFFFFF470:   romout <= 64'h000062F801FFEEAA;
638
64'hFFFFFFFFFFFFF478:   romout <= 64'h27F4000000A0FEF0;
639
64'hFFFFFFFFFFFFF480:   romout <= 64'h00003CA200000000;
640
64'hFFFFFFFFFFFFF488:   romout <= 64'h108C200000029000;
641
64'hFFFFFFFFFFFFF490:   romout <= 64'hFFECF428C6000002;
642
64'hFFFFFFFFFFFFF498:   romout <= 64'h0C7FFFFFD3231FFF;
643
64'hFFFFFFFFFFFFF4A0:   romout <= 64'h800002C0401BFFFF;
644
64'hFFFFFFFFFFFFF4A8:   romout <= 64'h0504200000F06210;
645
64'hFFFFFFFFFFFFF4B0:   romout <= 64'hFFFB3C1082200009;
646
64'hFFFFFFFFFFFFF4B8:   romout <= 64'h01080100009BE027;
647
64'hFFFFFFFFFFFFF4C0:   romout <= 64'h000062774000000A;
648
64'hFFFFFFFFFFFFF4C8:   romout <= 64'h2A04054003037EF8;
649
64'hFFFFFFFFFFFFF4D0:   romout <= 64'h0000C2B840100039;
650
64'hFFFFFFFFFFFFF4D8:   romout <= 64'h0DFBE0000000E108;
651
64'hFFFFFFFFFFFFF4E0:   romout <= 64'h60011AA040340041;
652
64'hFFFFFFFFFFFFF4E8:   romout <= 64'h03842000041AE100;
653
64'hFFFFFFFFFFFFF4F0:   romout <= 64'h000000284200000A;
654
64'hFFFFFFFFFFFFF4F8:   romout <= 64'h2A04024006137EF8;
655
64'hFFFFFFFFFFFFF500:   romout <= 64'h000186B840140066;
656
64'hFFFFFFFFFFFFF508:   romout <= 64'h0284200000A0E108;
657
64'hFFFFFFFFFFFFF510:   romout <= 64'hFFFFFCDFBE000000;
658
64'hFFFFFFFFFFFFF518:   romout <= 64'h0DFBE000000287FF;
659
64'hFFFFFFFFFFFFF520:   romout <= 64'hFFF782F80000008A;
660
64'hFFFFFFFFFFFFF528:   romout <= 64'h2C84004000A31FFF;
661
64'hFFFFFFFFFFFFF530:   romout <= 64'h500068C7FFFFFDE0;
662
64'hFFFFFFFFFFFFF538:   romout <= 64'h2C87FF40053B01F4;
663
64'hFFFFFFFFFFFFF540:   romout <= 64'h9000C0C7FFFFFDE0;
664
64'hFFFFFFFFFFFFF548:   romout <= 64'h2987FE40039A01FF;
665
64'hFFFFFFFFFFFFF550:   romout <= 64'hFFF7801040400009;
666
64'hFFFFFFFFFFFFF558:   romout <= 64'h0C7FFFFFD3231FFF;
667
64'hFFFFFFFFFFFFF560:   romout <= 64'hFFF7801040200009;
668
64'hFFFFFFFFFFFFF568:   romout <= 64'h0C7FFFFFD3231FFF;
669
64'hFFFFFFFFFFFFF570:   romout <= 64'h8000241884200000;
670
64'hFFFFFFFFFFFFF578:   romout <= 64'h0108230000904208;
671
64'hFFFFFFFFFFFFF580:   romout <= 64'h9000C6C13FA40030;
672
64'hFFFFFFFFFFFFF588:   romout <= 64'h2C100B00032B0402;
673
64'hFFFFFFFFFFFFF590:   romout <= 64'h5000D6C100A80033;
674
64'hFFFFFFFFFFFFF598:   romout <= 64'h2C100B40037B04FE;
675
64'hFFFFFFFFFFFFF5A0:   romout <= 64'h1000E6C100B40038;
676
64'hFFFFFFFFFFFFF5A8:   romout <= 64'h2F801FFFC2AB0403;
677
64'hFFFFFFFFFFFFF5B0:   romout <= 64'h00000450C60000FF;
678
64'hFFFFFFFFFFFFF5B8:   romout <= 64'h0C7FFFFFDE00E318;
679
64'hFFFFFFFFFFFFF5C0:   romout <= 64'h800000C7FFFFFD32;
680
64'hFFFFFFFFFFFFF5C8:   romout <= 64'h0108220000906210;
681
64'hFFFFFFFFFFFFF5D0:   romout <= 64'hFFF4C8C7FFFFFDE0;
682
64'hFFFFFFFFFFFFF5D8:   romout <= 64'h0188420000031FFF;
683
64'hFFFFFFFFFFFFF5E0:   romout <= 64'h0000001082200009;
684
64'hFFFFFFFFFFFFF5E8:   romout <= 64'h0294A00000160510;
685
64'hFFFFFFFFFFFFF5F0:   romout <= 64'hFFF782F807FFFE4F;
686
64'hFFFFFFFFFFFFF5F8:   romout <= 64'h0C7FFFFFD3231FFF;
687
64'hFFFFFFFFFFFFF600:   romout <= 64'h8000241884200000;
688
64'hFFFFFFFFFFFFF608:   romout <= 64'h0C7FFFFFDE004208;
689
64'hFFFFFFFFFFFFF610:   romout <= 64'h800000C7FFFFFD32;
690
64'hFFFFFFFFFFFFF618:   romout <= 64'h0108220000906210;
691
64'hFFFFFFFFFFFFF620:   romout <= 64'hFFF676F801FFF82A;
692
64'hFFFFFFFFFFFFF628:   romout <= 64'h2F801FFFC8A31FFF;
693
64'hFFFFFFFFFFFFF630:   romout <= 64'hFFF028C7FFFFFDA5;
694
64'hFFFFFFFFFFFFF638:   romout <= 64'h0C7FFFFFDADBE007;
695
64'hFFFFFFFFFFFFF640:   romout <= 64'hFFF6B6F801FFFB8A;
696
64'hFFFFFFFFFFFFF648:   romout <= 64'h1980A00000031FFF;
697
64'hFFFFFFFFFFFFF650:   romout <= 64'hFFF696F801FFDFAA;
698
64'hFFFFFFFFFFFFF658:   romout <= 64'h1980A00000031FFF;
699
64'hFFFFFFFFFFFFF660:   romout <= 64'hFFF676F801FFDF2A;
700
64'hFFFFFFFFFFFFF668:   romout <= 64'h1980A00000031FFF;
701
64'hFFFFFFFFFFFFF670:   romout <= 64'h000022F801FFDEAA;
702
64'hFFFFFFFFFFFFF678:   romout <= 64'h19FBE0000000FEF0;
703
64'hFFFFFFFFFFFFF680:   romout <= 64'hFFF4C8C7FFFFFDE0;
704
64'hFFFFFFFFFFFFF688:   romout <= 64'h0104020000931FFF;
705
64'hFFFFFFFFFFFFF690:   romout <= 64'h000022F8000004AA;
706
64'hFFFFFFFFFFFFF698:   romout <= 64'h19FBE0000000FEF0;
707
64'hFFFFFFFFFFFFF6A0:   romout <= 64'hFFF4C8C7FFFFFDE0;
708
64'hFFFFFFFFFFFFF6A8:   romout <= 64'h0104020000931FFF;
709
64'hFFFFFFFFFFFFF6B0:   romout <= 64'h000022F80000024A;
710
64'hFFFFFFFFFFFFF6B8:   romout <= 64'h19FBE0000000FEF0;
711
64'hFFFFFFFFFFFFF6C0:   romout <= 64'hFFF4C8C7FFFFFDE0;
712
64'hFFFFFFFFFFFFF6C8:   romout <= 64'h0104020000931FFF;
713
64'hFFFFFFFFFFFFF6D0:   romout <= 64'hFFF4C8C7FFFFFDE0;
714
64'hFFFFFFFFFFFFF6D8:   romout <= 64'h0188420000031FFF;
715
64'hFFFFFFFFFFFFF6E0:   romout <= 64'hFFF7801044200009;
716
64'hFFFFFFFFFFFFF6E8:   romout <= 64'h0C7FFFFFD3231FFF;
717
64'hFFFFFFFFFFFFF6F0:   romout <= 64'h8000241884200000;
718
64'hFFFFFFFFFFFFF6F8:   romout <= 64'h0C7FFFFFDE004208;
719
64'hFFFFFFFFFFFFF700:   romout <= 64'h800000C7FFFFFD32;
720
64'hFFFFFFFFFFFFF708:   romout <= 64'h0108220000906210;
721
64'hFFFFFFFFFFFFF710:   romout <= 64'hFFF4C8C7FFFFFDE0;
722
64'hFFFFFFFFFFFFF718:   romout <= 64'h0188420000031FFF;
723
64'hFFFFFFFFFFFFF720:   romout <= 64'hFFF7801082200009;
724
64'hFFFFFFFFFFFFF728:   romout <= 64'h0C7FFFFFD3231FFF;
725
64'hFFFFFFFFFFFFF730:   romout <= 64'h8000241884200000;
726
64'hFFFFFFFFFFFFF738:   romout <= 64'h0C7FFFFFDE004208;
727
64'hFFFFFFFFFFFFF740:   romout <= 64'h800000C7FFFFFD32;
728
64'hFFFFFFFFFFFFF748:   romout <= 64'h0108220000906210;
729
64'hFFFFFFFFFFFFF750:   romout <= 64'hFFF4C8C7FFFFFDE0;
730
64'hFFFFFFFFFFFFF758:   romout <= 64'h0188420000031FFF;
731
64'hFFFFFFFFFFFFF760:   romout <= 64'h0000281082200009;
732
64'hFFFFFFFFFFFFF768:   romout <= 64'h0108050000904421;
733
64'hFFFFFFFFFFFFF770:   romout <= 64'h0000211FBE000000;
734
64'hFFFFFFFFFFFFF778:   romout <= 64'h0DFBE0000000BEF0;
735
64'hFFFFFFFFFFFFF780:   romout <= 64'h0000003FBC000008;
736
64'hFFFFFFFFFFFFF788:   romout <= 64'h0C7FFFFFA9E67EF8;
737
64'hFFFFFFFFFFFFF790:   romout <= 64'hFFEA2AF841FF8D88;
738
64'hFFFFFFFFFFFFF798:   romout <= 64'h2C07AB4000031FFF;
739
64'hFFFFFFFFFFFFF7A0:   romout <= 64'hFFFD20C7FFFFFDEE;
740
64'hFFFFFFFFFFFFF7A8:   romout <= 64'h11FBE000000BE107;
741
64'hFFFFFFFFFFFFF7B0:   romout <= 64'h0000002FBC000008;
742
64'hFFFFFFFFFFFFF7B8:   romout <= 64'h20003DC0A0137EF8;
743
64'hFFFFFFFFFFFFF7C0:   romout <= 64'h0002A05042000001;
744
64'hFFFFFFFFFFFFF7C8:   romout <= 64'h20003DC0A00BE100;
745
64'hFFFFFFFFFFFFF7D0:   romout <= 64'h000000504200007F;
746
64'hFFFFFFFFFFFFF7D8:   romout <= 64'h0CFFFFFFC9137EF8;
747
64'hFFFFFFFFFFFFF7E0:   romout <= 64'h726F747061520A0D;
748
64'hFFFFFFFFFFFFF7E8:   romout <= 64'h20796E6954203436;
749
64'hFFFFFFFFFFFFF7F0:   romout <= 64'h3176204349534142;
750
64'hFFFFFFFFFFFFF7F8:   romout <= 64'h202943280A0D302E;
751
64'hFFFFFFFFFFFFF800:   romout <= 64'h6F52202032313032;
752
64'hFFFFFFFFFFFFF808:   romout <= 64'h6E69462074726562;
753
64'hFFFFFFFFFFFFF810:   romout <= 64'h0A0D000A0A0D6863;
754
64'hFFFFFFFFFFFFF818:   romout <= 64'h616857000A0D4B4F;
755
64'hFFFFFFFFFFFFF820:   romout <= 64'h726F53000A0D3F74;
756
64'hFFFFFFFFFFFFF828:   romout <= 64'h6F43000A0D2E7972;
757
64'hFFFFFFFFFFFFF830:   romout <= 64'h4C4620746361706D;
758
64'hFFFFFFFFFFFFF838:   romout <= 64'h6461657220485341;
759
64'hFFFFFFFFFFFFF840:   romout <= 64'h0A0D726F72726520;
760
64'hFFFFFFFFFFFFF848:   romout <= 64'h207265626D754E00;
761
64'hFFFFFFFFFFFFF850:   romout <= 64'h62206F6F74207369;
762
64'hFFFFFFFFFFFFF858:   romout <= 64'h766944000A0D6769;
763
64'hFFFFFFFFFFFFF860:   romout <= 64'h7962206E6F697369;
764
64'hFFFFFFFFFFFFF868:   romout <= 64'h000A0D6F72657A20;
765
64'hFFFFFFFFFFFFF870:   romout <= 64'h7620666F2074754F;
766
64'hFFFFFFFFFFFFF878:   romout <= 64'h20656C6261697261;
767
64'hFFFFFFFFFFFFF880:   romout <= 64'h000A0D6563617073;
768
64'hFFFFFFFFFFFFF888:   romout <= 64'h6620736574796220;
769
64'hFFFFFFFFFFFFF890:   romout <= 64'h0A0D000A0D656572;
770
64'hFFFFFFFFFFFFF898:   romout <= 64'h000A0D7964616552;
771
64'hFFFFFFFFFFFFF8A0:   romout <= 64'h6E69746365707845;
772
64'hFFFFFFFFFFFFF8A8:   romout <= 64'h6D6D6F6320612067;
773
64'hFFFFFFFFFFFFF8B0:   romout <= 64'h656E694C000A0D61;
774
64'hFFFFFFFFFFFFF8B8:   romout <= 64'h207265626D756E20;
775
64'hFFFFFFFFFFFFF8C0:   romout <= 64'h0D676962206F6F74;
776
64'hFFFFFFFFFFFFF8C8:   romout <= 64'h746365707845000A;
777
64'hFFFFFFFFFFFFF8D0:   romout <= 64'h6176206120676E69;
778
64'hFFFFFFFFFFFFF8D8:   romout <= 64'h0A0D656C62616972;
779
64'hFFFFFFFFFFFFF8E0:   romout <= 64'h64616220444E5200;
780
64'hFFFFFFFFFFFFF8E8:   romout <= 64'h74656D6172617020;
781
64'hFFFFFFFFFFFFF8F0:   romout <= 64'h535953000A0D7265;
782
64'hFFFFFFFFFFFFF8F8:   romout <= 64'h6464612064616220;
783
64'hFFFFFFFFFFFFF900:   romout <= 64'h49000A0D73736572;
784
64'hFFFFFFFFFFFFF908:   romout <= 64'h707865205455504E;
785
64'hFFFFFFFFFFFFF910:   romout <= 64'h6120676E69746365;
786
64'hFFFFFFFFFFFFF918:   romout <= 64'h6C62616972617620;
787
64'hFFFFFFFFFFFFF920:   romout <= 64'h5458454E000A0D65;
788
64'hFFFFFFFFFFFFF928:   romout <= 64'h74756F6874697720;
789
64'hFFFFFFFFFFFFF930:   romout <= 64'h4E000A0D524F4620;
790
64'hFFFFFFFFFFFFF938:   romout <= 64'h6570786520545845;
791
64'hFFFFFFFFFFFFF940:   romout <= 64'h206120676E697463;
792
64'hFFFFFFFFFFFFF948:   romout <= 64'h2064656E69666564;
793
64'hFFFFFFFFFFFFF950:   romout <= 64'h656C626169726176;
794
64'hFFFFFFFFFFFFF958:   romout <= 64'h2F4F544F47000A0D;
795
64'hFFFFFFFFFFFFF960:   romout <= 64'h6162204255534F47;
796
64'hFFFFFFFFFFFFF968:   romout <= 64'h6E20656E696C2064;
797
64'hFFFFFFFFFFFFF970:   romout <= 64'h000A0D7265626D75;
798
64'hFFFFFFFFFFFFF978:   romout <= 64'h77204E5255544552;
799
64'hFFFFFFFFFFFFF980:   romout <= 64'h472074756F687469;
800
64'hFFFFFFFFFFFFF988:   romout <= 64'h50000A0D4255534F;
801
64'hFFFFFFFFFFFFF990:   romout <= 64'h69206D6172676F72;
802
64'hFFFFFFFFFFFFF998:   romout <= 64'h6962206F6F742073;
803
64'hFFFFFFFFFFFFF9A0:   romout <= 64'h72747845000A0D67;
804
64'hFFFFFFFFFFFFF9A8:   romout <= 64'h6361726168632061;
805
64'hFFFFFFFFFFFFF9B0:   romout <= 64'h206E6F2073726574;
806
64'hFFFFFFFFFFFFF9B8:   romout <= 64'h6E676920656E696C;
807
64'hFFFFFFFFFFFFF9C0:   romout <= 64'h0D000A0D6465726F;
808
64'hFFFFFFFFFFFFF9C8:   romout <= 64'h0D000A0A0D00520A;
809
64'hFFFFFFFFFFFFF9D0:   romout <= 64'h0048000A0D004F0A;
810
64'hFFFFFFFFFFFFF9D8:   romout <= 64'h000A0D0057000A0D;
811
64'hFFFFFFFFFFFFF9E0:   romout <= 64'hFFFFFF000A0D0053;
812
64'hFFFFFFFFFFFFF9E8:   romout <= 64'hFFFFFFFFFFFFFFFF;
813
64'hFFFFFFFFFFFFF9F0:   romout <= 64'hAAAB541000800009;
814
64'hFFFFFFFFFFFFF9F8:   romout <= 64'h05802AA5555F5554;
815
64'hFFFFFFFFFFFFFA00:   romout <= 64'h0000019A02000000;
816
64'hFFFFFFFFFFFFFA08:   romout <= 64'h0104430000646810;
817
64'hFFFFFFFFFFFFFA10:   romout <= 64'h000022F8C00000A9;
818
64'hFFFFFFFFFFFFFA18:   romout <= 64'h042060000000A840;
819
64'hFFFFFFFFFFFFFA20:   romout <= 64'h800026F8C1FFFF00;
820
64'hFFFFFFFFFFFFFA28:   romout <= 64'h0100080000904802;
821
64'hFFFFFFFFFFFFFA30:   romout <= 64'hA955551A04000000;
822
64'hFFFFFFFFFFFFFA38:   romout <= 64'h2F8C00001091021A;
823
64'hFFFFFFFFFFFFFA40:   romout <= 64'h0000002210000008;
824
64'hFFFFFFFFFFFFFA48:   romout <= 64'h2F8C1FFFF801081C;
825
64'hFFFFFFFFFFFFFA50:   romout <= 64'h000026FA14000329;
826
64'hFFFFFFFFFFFFFA58:   romout <= 64'h3AAAAD5552A04002;
827
64'hFFFFFFFFFFFFFA60:   romout <= 64'h000000580355AAAA;
828
64'hFFFFFFFFFFFFFA68:   romout <= 64'h11A0400000066808;
829
64'hFFFFFFFFFFFFFA70:   romout <= 64'h0003241044300006;
830
64'hFFFFFFFFFFFFFA78:   romout <= 64'h02210000008BE300;
831
64'hFFFFFFFFFFFFFA80:   romout <= 64'hFFFC804207000000;
832
64'hFFFFFFFFFFFFFA88:   romout <= 64'h01200B00009BE307;
833
64'hFFFFFFFFFFFFFA90:   romout <= 64'h0000001000800009;
834
64'hFFFFFFFFFFFFFA98:   romout <= 64'h0408755AAAA46810;
835
64'hFFFFFFFFFFFFFAA0:   romout <= 64'h000022F8C00000A9;
836
64'hFFFFFFFFFFFFFAA8:   romout <= 64'h0420700000008840;
837
64'hFFFFFFFFFFFFFAB0:   romout <= 64'h000222F8C1FFFF20;
838
64'hFFFFFFFFFFFFFAB8:   romout <= 64'h01216800014BE858;
839
64'hFFFFFFFFFFFFFAC0:   romout <= 64'h000052FA14000048;
840
64'hFFFFFFFFFFFFFAC8:   romout <= 64'h1981000040004852;
841
64'hFFFFFFFFFFFFFAD0:   romout <= 64'h000020DFBE000000;
842
64'hFFFFFFFFFFFFFAD8:   romout <= 64'h19F820000000FEF0;
843
64'hFFFFFFFFFFFFFAE0:   romout <= 64'h8000060803DC0FF0;
844
64'hFFFFFFFFFFFFFAE8:   romout <= 64'h2C840180002B01B4;
845
64'hFFFFFFFFFFFFFAF0:   romout <= 64'h0002A8C7FFFFFAB9;
846
64'hFFFFFFFFFFFFFAF8:   romout <= 64'h2C84014000FBE000;
847
64'hFFFFFFFFFFFFFB00:   romout <= 64'h000000C7FFFFFA6D;
848
64'hFFFFFFFFFFFFFB08:   romout <= 64'h02FBC00000847E08;
849
64'hFFFFFFFFFFFFFB10:   romout <= 64'h0000800000000020;
850
64'hFFFFFFFFFFFFFB18:   romout <= 64'h19803FF000000000;
851
64'hFFFFFFFFFFFFFB20:   romout <= 64'h0000D19805FF0008;
852
64'hFFFFFFFFFFFFFB28:   romout <= 64'h2F84000002902008;
853
64'hFFFFFFFFFFFFFB30:   romout <= 64'h0010A00802000228;
854
64'hFFFFFFFFFFFFFB38:   romout <= 64'h008800005A902010;
855
64'hFFFFFFFFFFFFFB40:   romout <= 64'h40000C1884680001;
856
64'hFFFFFFFFFFFFFB48:   romout <= 64'h1184400000004110;
857
64'hFFFFFFFFFFFFFB50:   romout <= 64'h0014A45084000000;
858
64'hFFFFFFFFFFFFFB58:   romout <= 64'h1184400000802200;
859
64'hFFFFFFFFFFFFFB60:   romout <= 64'h0016A45084000000;
860
64'hFFFFFFFFFFFFFB68:   romout <= 64'h0080000003402200;
861
64'hFFFFFFFFFFFFFB70:   romout <= 64'hFC00000800000035;
862
64'hFFFFFFFFFFFFFB78:   romout <= 64'h11805FF00084600F;
863
64'hFFFFFFFFFFFFFB80:   romout <= 64'h0000000000000020;
864
64'hFFFFFFFFFFFFFB88:   romout <= 64'h37800000000DE000;
865
64'hFFFFFFFFFFFFFFB0:   romout <= 64'h000000CFFFFFFEC6;
866
64'hFFFFFFFFFFFFFFB8:   romout <= 64'h37800000000DE000;
867
64'hFFFFFFFFFFFFFFC0:   romout <= 64'h000000CFFFFFFEC6;
868
64'hFFFFFFFFFFFFFFC8:   romout <= 64'h37800000000DE000;
869
64'hFFFFFFFFFFFFFFD0:   romout <= 64'h000000CFFFFFFEB5;
870
64'hFFFFFFFFFFFFFFD8:   romout <= 64'h37800000000DE000;
871
64'hFFFFFFFFFFFFFFE0:   romout <= 64'h000000CFFFFFFEC5;
872
64'hFFFFFFFFFFFFFFE8:   romout <= 64'h37800000000DE000;
873
64'hFFFFFFFFFFFFFFF0:   romout <= 64'h000000CFFFFFFA00;
874
64'hFFFFFFFFFFFFFFF8:   romout <= 64'h37800000000DE000;
875
default:        romout <= 64'd0;
876
endcase
877
assign sys_dbi = br_dato|keybdout|stk_dato|scr_dato| {4{tc_dato}} | {4{pic_dato}} | {8{config_reco}} | ramo | {8{sm_dato}};
878
 
879
 
880
Raptor64sc u1
881
(
882
        .rst_i(rst),
883
        .clk_i(clk),
884
        .nmi_i(cpu_nmi),
885
        .irq_i(cpu_irq),
886
        .irq_no(vecno),
887
        .bte_o(),
888
        .cti_o(cpu_cti),
889
        .iocyc_o(sys_iocyc),
890
        .cyc_o(sys_cyc),
891
        .stb_o(sys_stb),
892
        .ack_i(sys_ack),
893
        .err_i(sys_err),
894
        .we_o(sys_we),
895
        .sel_o(sys_sel),
896
        .adr_o(sys_adr),
897
        .dat_i(sys_dbi),
898
        .dat_o(sys_dbo),
899
 
900
        .sys_adv(1'b0),
901
        .sys_adr(59'd0)
902
);
903
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.