OpenCores
URL https://opencores.org/ocsvn/reed_solomon_decoder/reed_solomon_decoder/trunk

Subversion Repositories reed_solomon_decoder

[/] [reed_solomon_decoder/] [trunk/] [synthesis/] [altera/] [Makefile] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 vk.semicon
MODULE=RS_dec
2
###################################################################
3
# Project Configuration:
4
#
5
# Specify the name of the design (project), the Quartus II Settings
6
# File (.qsf), and the list of source files used.
7
###################################################################
8
 
9
PROJECT ?= $(MODULE)
10
DEPS ?=
11
SOURCE_FILES ?= $(wildcard ../../rtl/*.v)
12
 
13
ASSIGNMENT_FILES = $(PROJECT).qpf $(PROJECT).qsf
14
 
15
###################################################################
16
# Main Targets
17
#
18
# all: build everything
19
# clean: remove output files and database
20
###################################################################
21
 
22
all: smart.log $(PROJECT).asm.rpt $(PROJECT).sta.rpt $(PROJECT).eda.rpt
23
 
24
clean:
25
        rm -rf *.chg smart.log *.htm *.eqn *.pin *.sof *.pof db *.summary *.smsg *.qdf simulation dse incremental_db
26
 
27
all-clean: clean
28
        rm -rf *.rpt *.done *~
29
 
30
map: smart.log $(PROJECT).map.rpt
31
fit: smart.log $(PROJECT).fit.rpt
32
asm: smart.log $(PROJECT).asm.rpt
33
tan: smart.log $(PROJECT).tan.rpt
34
sta: smart.log $(PROJECT).sta.rpt
35
eda: smart.log $(PROJECT).eda.rpt
36
dse: $(PROJECT).dse.rpt
37
smart: smart.log
38
 
39
###################################################################
40
# Executable Configuration
41
###################################################################
42
 
43
#MAP_ARGS = --family="StratixII"
44
#FIT_ARGS = --part=EP2S180F1508C3
45
FIT_ARGS =
46
ASM_ARGS =
47
TAN_ARGS =
48
STA_ARGS =
49
DSE_ARGS =  -exploration-space "Physical Synthesis with Retiming Space"
50
###################################################################
51
# Target implementations
52
###################################################################
53
 
54
STAMP = echo done >
55
 
56
$(PROJECT).map.rpt: map.chg $(SOURCE_FILES)
57
        quartus_map $(MAP_ARGS) $(PROJECT)
58
        $(STAMP) fit.chg
59
 
60
$(PROJECT).fit.rpt: fit.chg $(PROJECT).map.rpt
61
        quartus_fit $(FIT_ARGS) $(PROJECT)
62
        $(STAMP) asm.chg
63
        $(STAMP) tan.chg
64
        $(STAMP) sta.chg
65
 
66
$(PROJECT).asm.rpt: asm.chg $(PROJECT).fit.rpt
67
        quartus_asm $(ASM_ARGS) $(PROJECT)
68
 
69
$(PROJECT).tan.rpt: tan.chg $(PROJECT).fit.rpt
70
        quartus_tan $(TAN_ARGS) $(PROJECT)
71
 
72
$(PROJECT).sta.rpt: sta.chg $(PROJECT).fit.rpt
73
        quartus_sta $(STA_ARGS) $(PROJECT)
74
 
75
$(PROJECT).eda.rpt: eda.chg $(PROJECT).fit.rpt
76
        quartus_eda $(PROJECT)
77
 
78
$(PROJECT).dse.rpt: $(ASSIGNMENT_FILES)
79
        quartus_sh --dse -nogui -project $(PROJECT) $(DSE_ARGS)
80
 
81
smart.log: $(ASSIGNMENT_FILES)
82
        quartus_sh --determine_smart_action $(PROJECT) > smart.log
83
 
84
###################################################################
85
# Project initialization
86
###################################################################
87
 
88
#$(ASSIGNMENT_FILES):
89
#       quartus_sh --prepare $(PROJECT)
90
 
91
map.chg:
92
        $(STAMP) map.chg
93
fit.chg:
94
        $(STAMP) fit.chg
95
tan.chg:
96
        $(STAMP) tan.chg
97
sta.chg:
98
        $(STAMP) sta.chg
99
asm.chg:
100
        $(STAMP) asm.chg
101
eda.chg:
102
        $(STAMP) eda.chg
103
 
104
.PHONY: dse

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.