OpenCores
URL https://opencores.org/ocsvn/reedsolomon/reedsolomon/trunk

Subversion Repositories reedsolomon

[/] [reedsolomon/] [trunk/] [bluespec-source/] [SyndromeParallel.bsv] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 abhiag
//----------------------------------------------------------------------//
2
// The MIT License
3
//
4
// Copyright (c) 2010 Abhinav Agarwal, Alfred Man Cheuk Ng
5
// Contact: abhiag@gmail.com
6
//
7
// Permission is hereby granted, free of charge, to any person
8
// obtaining a copy of this software and associated documentation
9
// files (the "Software"), to deal in the Software without
10
// restriction, including without limitation the rights to use,
11
// copy, modify, merge, publish, distribute, sublicense, and/or sell
12
// copies of the Software, and to permit persons to whom the
13
// Software is furnished to do so, subject to the following conditions:
14
//
15
// The above copyright notice and this permission notice shall be
16
// included in all copies or substantial portions of the Software.
17
//
18
// THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND,
19
// EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES
20
// OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND
21
// NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT
22
// HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY,
23
// WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING
24
// FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR
25
// OTHER DEALINGS IN THE SOFTWARE.
26
//----------------------------------------------------------------------//
27
 
28
import FIFO::*;
29
import GFArith::*;
30
import GFTypes::*;
31
import Vector::*;
32
 
33
// ---------------------------------------------------------
34
// Reed-Solomon Syndrome calculator interface
35
// ---------------------------------------------------------
36
interface ISyndrome;
37
   method Action                         n_in(Byte n_new); // dynamic n (shorten/punctured codeword)
38
   method Action                         r_in(Byte datum);
39
 
40
   method ActionValue#(Syndrome#(TwoT))  s_out();
41
endinterface
42
 
43
// ---------------------------------------------------------
44
// Reed-Solomon Syndrome calculation module
45
// ---------------------------------------------------------
46
(* synthesize *)
47
module mkSyndromeParallel(ISyndrome);
48
 
49
   Reg#(Syndrome#(32))     syndrome       <- mkReg(replicate(0));
50
   FIFO#(Byte)             n_q            <- mkSizedFIFO(2);
51
   Reg#(Byte)              i              <- mkReg(0);
52
   Reg#(Byte)              block_number   <- mkReg(1);
53
 
54
   let n = n_q.first();
55
 
56
   // ------------------------------------------------
57
   method Action r_in (Byte datum) if (i < n);
58
      $display ("  [syndrome %d]  r_in (%d): %d", block_number, i, datum);
59
      Syndrome#(TwoT) syndrome_temp = replicate(0);
60
 
61
      for (Byte x = 0; x < fromInteger(valueOf(TwoT)); x = x + 1)
62
         begin
63
            syndrome_temp[x] = times_alpha_n(syndrome[x], x + 1);
64
            syndrome_temp[x] = gf_add(syndrome_temp[x], datum);
65
         end
66
 
67
      syndrome <= syndrome_temp;
68
      i <= i + 1;
69
   endmethod
70
 
71
   // ------------------------------------------------
72
   method ActionValue#(Syndrome#(TwoT)) s_out() if (i == n);
73
      $display ("  [syndrome %d]  s_out", block_number);
74
 
75
      // consider the next n
76
      n_q.deq();
77
      // reset state
78
      i <= 0;
79
      syndrome <= replicate(0);
80
      // incr block_numer (just for bookkeeping)
81
      block_number <= block_number + 1;
82
      return syndrome;
83
   endmethod
84
 
85
   // ------------------------------------------------
86
   method Action n_in (Byte n_new);
87
      $display ("  [syndrome %d]  n_in : %d", block_number, n_new);
88
 
89
      n_q.enq(n_new);
90
   endmethod
91
 
92
endmodule
93
 
94
 
95
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.