OpenCores
URL https://opencores.org/ocsvn/riscv_vhdl/riscv_vhdl/trunk

Subversion Repositories riscv_vhdl

[/] [riscv_vhdl/] [trunk/] [debugger/] [src/] [cpu_sysc_plugin/] [riverlib/] [river_top.h] - Blame information for rev 2

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 sergeykhbr
/**
2
 * @file
3
 * @copyright  Copyright 2016 GNSS Sensor Ltd. All right reserved.
4
 * @author     Sergey Khabarov - sergeykhbr@gmail.com
5
 * @brief      "River" CPU Top level.
6
 */
7
 
8
#ifndef __DEBUGGER_RIVER_TOP_H__
9
#define __DEBUGGER_RIVER_TOP_H__
10
 
11
#include <systemc.h>
12
#include "river_cfg.h"
13
#include "core/proc.h"
14
#include "cache/cache_top.h"
15
 
16
namespace debugger {
17
 
18
SC_MODULE(RiverTop) {
19
    sc_in<bool> i_clk;                                  // CPU clock
20
    sc_in<bool> i_nrst;                                 // Reset: active LOW
21
    // Memory interface:
22
    sc_in<bool> i_req_mem_ready;                        // System Bus is ready to accept memory operation request
23
    sc_out<bool> o_req_mem_valid;                       // AXI memory request is valid
24
    sc_out<bool> o_req_mem_write;                       // AXI memory request is write type
25
    sc_out<sc_uint<BUS_ADDR_WIDTH>> o_req_mem_addr;     // AXI memory request address
26
    sc_out<sc_uint<BUS_DATA_BYTES>> o_req_mem_strob;    // Writing strob. 1 bit per Byte
27
    sc_out<sc_uint<BUS_DATA_WIDTH>> o_req_mem_data;     // Writing data
28
    sc_in<bool> i_resp_mem_data_valid;                  // AXI response is valid
29
    sc_in<sc_uint<BUS_DATA_WIDTH>> i_resp_mem_data;     // Read data
30
    /** Interrupt line from external interrupts controller (PLIC). */
31
    sc_in<bool> i_ext_irq;
32
    sc_out<sc_uint<64>> o_time;                         // Clock/Step counter depending attribute "GenerateRef"
33
    // Debug interface
34
    sc_in<bool> i_dport_valid;                          // Debug access from DSU is valid
35
    sc_in<bool> i_dport_write;                          // Write command flag
36
    sc_in<sc_uint<2>> i_dport_region;                   // Registers region ID: 0=CSR; 1=IREGS; 2=Control
37
    sc_in<sc_uint<12>> i_dport_addr;                    // Register idx
38
    sc_in<sc_uint<RISCV_ARCH>> i_dport_wdata;           // Write value
39
    sc_out<bool> o_dport_ready;                         // Response is ready
40
    sc_out<sc_uint<RISCV_ARCH>> o_dport_rdata;          // Response value
41
 
42
 
43
    RiverTop(sc_module_name name_);
44
    virtual ~RiverTop();
45
 
46
    void generateVCD(sc_trace_file *i_vcd, sc_trace_file *o_vcd);
47
    void generateRef(bool v) { proc0->generateRef(v); }
48
private:
49
 
50
    Processor *proc0;
51
    CacheTop *cache0;
52
 
53
    // Control path:
54
    sc_signal<bool> w_req_ctrl_ready;
55
    sc_signal<bool> w_req_ctrl_valid;
56
    sc_signal<sc_uint<BUS_ADDR_WIDTH>> wb_req_ctrl_addr;
57
    sc_signal<bool> w_resp_ctrl_valid;
58
    sc_signal<sc_uint<BUS_ADDR_WIDTH>> wb_resp_ctrl_addr;
59
    sc_signal<sc_uint<32>> wb_resp_ctrl_data;
60
    sc_signal<bool> w_resp_ctrl_ready;
61
    // Data path:
62
    sc_signal<bool> w_req_data_ready;
63
    sc_signal<bool> w_req_data_valid;
64
    sc_signal<bool> w_req_data_write;
65
    sc_signal<sc_uint<BUS_ADDR_WIDTH>> wb_req_data_addr;
66
    sc_signal<sc_uint<2>> wb_req_data_size; // 0=1bytes; 1=2bytes; 2=4bytes; 3=8bytes
67
    sc_signal<sc_uint<RISCV_ARCH>> wb_req_data_data;
68
    sc_signal<bool> w_resp_data_valid;
69
    sc_signal<sc_uint<BUS_ADDR_WIDTH>> wb_resp_data_addr;
70
    sc_signal<sc_uint<RISCV_ARCH>> wb_resp_data_data;
71
    sc_signal<bool> w_resp_data_ready;
72
    sc_signal<sc_uint<2>> wb_istate;
73
    sc_signal<sc_uint<2>> wb_dstate;
74
    sc_signal<sc_uint<2>> wb_cstate;
75
};
76
 
77
 
78
}  // namespace debugger
79
 
80
#endif  // __DEBUGGER_RIVER_TOP_H__

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.