OpenCores
URL https://opencores.org/ocsvn/riscv_vhdl/riscv_vhdl/trunk

Subversion Repositories riscv_vhdl

[/] [riscv_vhdl/] [trunk/] [debugger/] [src/] [gui_plugin/] [CpuWidgets/] [SymbolBrowserArea.h] - Blame information for rev 3

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 sergeykhbr
/**
2
 * @file
3
 * @copyright  Copyright 2017 GNSS Sensor Ltd. All right reserved.
4
 * @author     Sergey Khabarov - sergeykhbr@gmail.com
5
 * @brief      Symbol Browser main area.
6
 */
7
 
8
#pragma once
9
 
10
#include "api_core.h"   // MUST BE BEFORE QtWidgets.h or any other Qt header.
11
#include "attribute.h"
12
#include "igui.h"
13
#include "iservice.h"
14
#include "coreservices/isocinfo.h"
15
#include "coreservices/isrccode.h"
16
 
17
#include <QtWidgets/QWidget>
18
#include <QtWidgets/QTableWidget>
19
 
20
namespace debugger {
21
 
22
class SymbolBrowserArea : public QTableWidget,
23
                          public IGuiCmdHandler {
24
    Q_OBJECT
25
public:
26
    explicit SymbolBrowserArea(IGui *gui, QWidget *parent);
27
    virtual ~SymbolBrowserArea();
28
 
29
    /** IGuiCmdHandler */
30
    virtual void handleResponse(AttributeType *req, AttributeType *resp);
31
 
32
public slots:
33
    void slotCellDoubleClicked(int row, int column);
34
    void slotFilterChanged(const QString &flt);
35
    void slotHandleResponse();
36
 
37
signals:
38
    void signalHandleResponse();
39
    void signalShowFunction(uint64_t addr, uint64_t sz);
40
    void signalShowData(uint64_t addr, uint64_t sz);
41
 
42
private:
43
    void setListSize(int sz);
44
 
45
private:
46
    enum EColumnNames {
47
        COL_symbol,
48
        COL_type,
49
        COL_address,
50
        COL_Total
51
    };
52
 
53
    AttributeType symbolList_;
54
    AttributeType symbolFilter_;
55
    IGui *igui_;
56
    int lineHeight_;
57
    int hideLineIdx_;
58
};
59
 
60
}  // namespace debugger

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.