OpenCores
URL https://opencores.org/ocsvn/rs_encoder_decoder/rs_encoder_decoder/trunk

Subversion Repositories rs_encoder_decoder

[/] [rs_encoder_decoder/] [rtl/] [GF8Add.v] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 farooq21
// This is a verilog File Generated
2
// By The C++ program That Generates
3
// An Gallios Field Hardware Adder
4
 
5
module GF8Add(
6
  add_i1, // Gallios Field Adder input 1
7
  add_i2, // Gallios Field Adder input 2
8
  add_o   // Gallios Field Adder output
9
  );
10
  // Inputs are declared here
11
  input [7:0] add_i1,add_i2;
12
  output wire [7:0] add_o;
13
 
14
  // Declaration of Wires And Register are here 
15
 
16
  // Combinational Logic Body 
17
  assign add_o[0] = add_i1[0]^add_i2[0];
18
  assign add_o[1] = add_i1[1]^add_i2[1];
19
  assign add_o[2] = add_i1[2]^add_i2[2];
20
  assign add_o[3] = add_i1[3]^add_i2[3];
21
  assign add_o[4] = add_i1[4]^add_i2[4];
22
  assign add_o[5] = add_i1[5]^add_i2[5];
23
  assign add_o[6] = add_i1[6]^add_i2[6];
24
  assign add_o[7] = add_i1[7]^add_i2[7];
25
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.