OpenCores
URL https://opencores.org/ocsvn/rs_encoder_decoder/rs_encoder_decoder/trunk

Subversion Repositories rs_encoder_decoder

[/] [rs_encoder_decoder/] [rtl/] [GF8Mult_testbench.v] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 farooq21
`timescale 1ns / 10 ps
2
 
3
module GF8Mult5_testbench;
4
 
5
  reg  clk_i,rst_i;
6
  wire [7:0] mult_o;
7
  reg [7:0]  mult_i;
8
  reg [126*7:0]  path,input_file,output_file;
9
  integer    fd_in,fd_out;
10
 
11
GF8Mult5 DUT(.clk_i(clk_i),.rst_i(rst_i),
12
  .mult_i(mult_i),
13
  .mult_o(mult_o));
14
 
15
always
16
#5 clk_i = !clk_i;
17
  initial begin
18
    path = "./";
19
    input_file = "input_file_GF8Mult.dat";
20
    output_file = "output_file_GF8Mult.dat";
21
    fd_in = $fopen(input_file,"r");
22
    fd_out = $fopen(output_file,"w");
23
 
24
    clk_i = 0;
25
    rst_i = 1;
26
    #10 rst_i = 0;
27
 
28
   while(!$feof(fd_in))
29
     begin
30
       @(negedge clk_i);
31
         $fscanf(fd_in,"%d\n",mult_i);
32
         $fwrite(fd_out,"%d\n",mult_o);
33
     end
34
  end // initial begin
35
 
36
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.