OpenCores
URL https://opencores.org/ocsvn/rs_encoder_decoder/rs_encoder_decoder/trunk

Subversion Repositories rs_encoder_decoder

[/] [rs_encoder_decoder/] [rtl/] [GF8Reg.v] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 farooq21
// This is a verilog File Generated
2
// By The C++ program That Generates
3
// An Gallios Field Hardware Register
4
 
5
module GF8Reg(clk_i, rst_i,
6
  en_i, // Enable Signal
7
  reg_i, // Gallios Field Register input 1
8
  reg_o   // Gallios Field Register output
9
  );
10
  // Inputs are declared here
11
  input clk_i,rst_i,en_i;                       // Clock and Reset Declaration
12
  input [7:0] reg_i;
13
  output reg [7:0] reg_o;
14
 
15
  // Declaration of Wires And Register are here 
16
 
17
  // Sequential Body
18
  always @(posedge clk_i or posedge rst_i) begin
19
    if (rst_i)
20
      reg_o = 0;
21
    else if(en_i)
22
      reg_o = reg_i;
23
  end
24
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.