OpenCores
URL https://opencores.org/ocsvn/rs_encoder_decoder/rs_encoder_decoder/trunk

Subversion Repositories rs_encoder_decoder

[/] [rs_encoder_decoder/] [rtl/] [Memmory.v] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 farooq21
module Memmory
2
(
3
        input [7:0] data,
4
        input [7:0] addr,
5
        input we, clk,
6
        output reg [7:0] q
7
);
8
 
9
        // Declare the RAM variable
10
        parameter WIDTH = 256;
11
  reg [7:0] ram[WIDTH-1:0];
12
 
13
  //if remove clear function, the dpram can be synthesized to ram block 
14
  //always @(posedge clk ) begin:clear 
15
  //  if (clear_i) begin
16
  //    for(i=0; i<WIDTH; i = i+1)
17
  //      ram[i] <= 0;
18
  //  end
19
  //end 
20
 
21
        always @ (posedge clk)
22
        begin
23
                // Write
24
                if (we)
25
                        ram[addr] = data;
26
 
27
                // Read (if read_addr == write_addr, return OLD data).  To return
28
                // NEW data, use = (blocking write) rather than <= (non-blocking write)
29
                // in the write assignment.      NOTE: NEW data may require extra bypass
30
                // logic around the RAM.
31
                q = ram[addr];
32
        end
33
 
34
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.