OpenCores
URL https://opencores.org/ocsvn/rtf65002/rtf65002/trunk

Subversion Repositories rtf65002

[/] [rtf65002/] [trunk/] [rtl/] [verilog/] [rtf65002d.v] - Blame information for rev 23

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 10 robfinch
`timescale 1ns / 1ps
2
// ============================================================================
3
//        __
4
//   \\__/ o\    (C) 2013  Robert Finch, Stratford
5
//    \  __ /    All rights reserved.
6
//     \/_//     robfinch<remove>@opencores.org
7
//       ||
8
//
9
// rtf65002.v
10
//  - 32 bit CPU
11
//
12
// This source file is free software: you can redistribute it and/or modify 
13
// it under the terms of the GNU Lesser General Public License as published 
14
// by the Free Software Foundation, either version 3 of the License, or     
15
// (at your option) any later version.                                      
16
//                                                                          
17
// This source file is distributed in the hope that it will be useful,      
18
// but WITHOUT ANY WARRANTY; without even the implied warranty of           
19
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the            
20
// GNU General Public License for more details.                             
21
//                                                                          
22
// You should have received a copy of the GNU General Public License        
23
// along with this program.  If not, see <http://www.gnu.org/licenses/>.    
24
//                                                                          
25
// ============================================================================
26
//
27 5 robfinch
`define TRUE            1'b1
28
`define FALSE           1'b0
29
 
30
`define RST_VECT        34'h3FFFFFFF8
31
`define NMI_VECT        34'h3FFFFFFF4
32
`define IRQ_VECT        34'h3FFFFFFF0
33 13 robfinch
`define BRK_VECTNO      9'd0
34
`define SLP_VECTNO      9'd1
35 21 robfinch
`define BYTE_RST_VECT   34'h00000FFFC
36 5 robfinch
`define BYTE_NMI_VECT   34'h00000FFFA
37
`define BYTE_IRQ_VECT   34'h00000FFFE
38
 
39
`define BRK                     8'h00
40
`define RTI                     8'h40
41
`define RTS                     8'h60
42
`define PHP                     8'h08
43
`define CLC                     8'h18
44
`define PLP                     8'h28
45
`define SEC                     8'h38
46
`define PHA                     8'h48
47
`define CLI                     8'h58
48
`define PLA                     8'h68
49
`define SEI                     8'h78
50
`define DEY                     8'h88
51
`define TYA                     8'h98
52
`define TAY                     8'hA8
53
`define CLV                     8'hB8
54
`define INY                     8'hC8
55
`define CLD                     8'hD8
56
`define INX                     8'hE8
57
`define SED                     8'hF8
58
`define ROR_ACC         8'h6A
59
`define TXA                     8'h8A
60
`define TXS                     8'h9A
61
`define TAX                     8'hAA
62
`define TSX                     8'hBA
63
`define DEX                     8'hCA
64
`define NOP                     8'hEA
65
`define TXY                     8'h9B
66
`define TYX                     8'hBB
67
`define TAS                     8'h1B
68
`define TSA                     8'h3B
69
`define TRS                     8'h8B
70
`define TSR                     8'hAB
71
`define STP                     8'hDB
72
`define NAT                     8'hFB
73
`define EMM                     8'hFB
74
`define INA                     8'h1A
75
`define DEA                     8'h3A
76
 
77
`define RR                      8'h02
78 12 robfinch
`define ADD_RR                  4'd0
79
`define SUB_RR                  4'd1
80
`define CMP_RR                  4'd2
81
`define AND_RR                  4'd3
82
`define EOR_RR                  4'd4
83
`define OR_RR                   4'd5
84
`define MUL_RR                  4'd8
85
`define MULS_RR                 4'd9
86
`define DIV_RR                  4'd10
87
`define DIVS_RR                 4'd11
88
`define MOD_RR                  4'd12
89
`define MODS_RR                 4'd13
90 19 robfinch
`define ASL_RRR                 4'd14
91
`define LSR_RRR                 4'd15
92 12 robfinch
`define LD_RR           8'h7B
93 5 robfinch
 
94
`define ADD_IMM8        8'h65           // 8 bit operand
95
`define ADD_IMM16       8'h79           // 16 bit operand
96
`define ADD_IMM32       8'h69           // 32 bit operand
97
`define ADD_ZPX         8'h75           // there is no ZP mode, use R0 to syntheisze
98
`define ADD_IX          8'h61
99
`define ADD_IY          8'h71
100
`define ADD_ABS         8'h6D
101
`define ADD_ABSX        8'h7D
102
`define ADD_RIND        8'h72
103 23 robfinch
`define ADD_DSP         8'h63
104 5 robfinch
 
105
`define SUB_IMM8        8'hE5
106
`define SUB_IMM16       8'hF9
107
`define SUB_IMM32       8'hE9
108
`define SUB_ZPX         8'hF5
109
`define SUB_IX          8'hE1
110
`define SUB_IY          8'hF1
111
`define SUB_ABS         8'hED
112
`define SUB_ABSX        8'hFD
113
`define SUB_RIND        8'hF2
114 23 robfinch
`define SUB_DSP         8'hE3
115 5 robfinch
 
116
// CMP = SUB r0,....
117
 
118
`define ADC_IMM         8'h69
119
`define ADC_ZP          8'h65
120
`define ADC_ZPX         8'h75
121
`define ADC_IX          8'h61
122
`define ADC_IY          8'h71
123
`define ADC_ABS         8'h6D
124
`define ADC_ABSX        8'h7D
125
`define ADC_ABSY        8'h79
126
`define ADC_I           8'h72
127
 
128
`define SBC_IMM         8'hE9
129
`define SBC_ZP          8'hE5
130
`define SBC_ZPX         8'hF5
131
`define SBC_IX          8'hE1
132
`define SBC_IY          8'hF1
133
`define SBC_ABS         8'hED
134
`define SBC_ABSX        8'hFD
135
`define SBC_ABSY        8'hF9
136
`define SBC_I           8'hF2
137
 
138 19 robfinch
`define CMP_IMM8        8'hC5
139 5 robfinch
`define CMP_IMM32       8'hC9
140
`define CMP_IMM         8'hC9
141
`define CMP_ZP          8'hC5
142
`define CMP_ZPX         8'hD5
143
`define CMP_IX          8'hC1
144
`define CMP_IY          8'hD1
145
`define CMP_ABS         8'hCD
146
`define CMP_ABSX        8'hDD
147
`define CMP_ABSY        8'hD9
148
`define CMP_I           8'hD2
149
 
150
 
151
`define LDA_IMM8        8'hA5
152
`define LDA_IMM16       8'hB9
153
`define LDA_IMM32       8'hA9
154
 
155
`define AND_IMM8        8'h25
156
`define AND_IMM16       8'h39
157
`define AND_IMM32       8'h29
158
`define AND_IMM         8'h29
159
`define AND_ZP          8'h25
160
`define AND_ZPX         8'h35
161
`define AND_IX          8'h21
162
`define AND_IY          8'h31
163
`define AND_ABS         8'h2D
164
`define AND_ABSX        8'h3D
165
`define AND_ABSY        8'h39
166
`define AND_RIND        8'h32
167
`define AND_I           8'h32
168 23 robfinch
`define AND_DSP         8'h23
169 5 robfinch
 
170
`define OR_IMM8         8'h05
171
`define OR_IMM16        8'h19
172
`define OR_IMM32        8'h09
173
`define OR_ZPX          8'h15
174
`define OR_IX           8'h01
175
`define OR_IY           8'h11
176
`define OR_ABS          8'h0D
177
`define OR_ABSX         8'h1D
178
`define OR_RIND         8'h12
179 23 robfinch
`define OR_DSP          8'h03
180 5 robfinch
 
181
`define ORA_IMM         8'h09
182
`define ORA_ZP          8'h05
183
`define ORA_ZPX         8'h15
184
`define ORA_IX          8'h01
185
`define ORA_IY          8'h11
186
`define ORA_ABS         8'h0D
187
`define ORA_ABSX        8'h1D
188
`define ORA_ABSY        8'h19
189
`define ORA_I           8'h12
190
 
191
`define EOR_IMM         8'h49
192
`define EOR_IMM8        8'h45
193
`define EOR_IMM16       8'h59
194
`define EOR_IMM32       8'h49
195
`define EOR_ZP          8'h45
196
`define EOR_ZPX         8'h55
197
`define EOR_IX          8'h41
198
`define EOR_IY          8'h51
199
`define EOR_ABS         8'h4D
200
`define EOR_ABSX        8'h5D
201
`define EOR_ABSY        8'h59
202
`define EOR_RIND        8'h52
203
`define EOR_I           8'h52
204 23 robfinch
`define EOR_DSP         8'h43
205 5 robfinch
 
206
// LD is OR rt,r0,....
207
 
208
`define ST_ZPX          8'h95
209
`define ST_IX           8'h81
210
`define ST_IY           8'h91
211
`define ST_ABS          8'h8D
212
`define ST_ABSX         8'h9D
213
`define ST_RIND         8'h92
214 23 robfinch
`define ST_DSP          8'h83
215 5 robfinch
 
216
`define ORB_ZPX         8'hB5
217
`define ORB_IX          8'hA1
218
`define ORB_IY          8'hB1
219
`define ORB_ABS         8'hAD
220
`define ORB_ABSX        8'hBD
221
 
222
`define STB_ZPX         8'h74
223
`define STB_ABS         8'h9C
224
`define STB_ABSX        8'h9E
225
 
226
 
227
//`define LDB_RIND      8'hB2   // Conflict with LDX #imm16
228
 
229
`define LDA_IMM         8'hA9
230
`define LDA_ZP          8'hA5
231
`define LDA_ZPX         8'hB5
232
`define LDA_IX          8'hA1
233
`define LDA_IY          8'hB1
234
`define LDA_ABS         8'hAD
235
`define LDA_ABSX        8'hBD
236
`define LDA_ABSY        8'hB9
237
`define LDA_I           8'hB2
238
 
239
`define STA_ZP          8'h85
240
`define STA_ZPX         8'h95
241
`define STA_IX          8'h81
242
`define STA_IY          8'h91
243
`define STA_ABS         8'h8D
244
`define STA_ABSX        8'h9D
245
`define STA_ABSY        8'h99
246
`define STA_I           8'h92
247
 
248 19 robfinch
`define ASL_IMM8        8'h24
249 5 robfinch
`define ASL_ACC         8'h0A
250
`define ASL_ZP          8'h06
251
`define ASL_RR          8'h06
252
`define ASL_ZPX         8'h16
253
`define ASL_ABS         8'h0E
254
`define ASL_ABSX        8'h1E
255
 
256
`define ROL_ACC         8'h2A
257
`define ROL_ZP          8'h26
258
`define ROL_RR          8'h26
259
`define ROL_ZPX         8'h36
260
`define ROL_ABS         8'h2E
261
`define ROL_ABSX        8'h3E
262
 
263 19 robfinch
`define LSR_IMM8        8'h34
264 5 robfinch
`define LSR_ACC         8'h4A
265
`define LSR_ZP          8'h46
266
`define LSR_RR          8'h46
267
`define LSR_ZPX         8'h56
268
`define LSR_ABS         8'h4E
269
`define LSR_ABSX        8'h5E
270
 
271
`define ROR_RR          8'h66
272
`define ROR_ZP          8'h66
273
`define ROR_ZPX         8'h76
274
`define ROR_ABS         8'h6E
275
`define ROR_ABSX        8'h7E
276
 
277 12 robfinch
`define DEC_RR          8'hC6
278 5 robfinch
`define DEC_ZP          8'hC6
279
`define DEC_ZPX         8'hD6
280
`define DEC_ABS         8'hCE
281
`define DEC_ABSX        8'hDE
282 12 robfinch
`define INC_RR          8'hE6
283 5 robfinch
`define INC_ZP          8'hE6
284
`define INC_ZPX         8'hF6
285
`define INC_ABS         8'hEE
286
`define INC_ABSX        8'hFE
287
 
288
`define BIT_IMM         8'h89
289
`define BIT_ZP          8'h24
290
`define BIT_ZPX         8'h34
291
`define BIT_ABS         8'h2C
292
`define BIT_ABSX        8'h3C
293
 
294
// CMP = SUB r0,...
295
// BIT = AND r0,...
296
`define BPL                     8'h10
297
`define BVC                     8'h50
298
`define BCC                     8'h90
299
`define BNE                     8'hD0
300
`define BMI                     8'h30
301
`define BVS                     8'h70
302
`define BCS                     8'hB0
303
`define BEQ                     8'hF0
304
`define BRL                     8'h82
305 20 robfinch
`define BRA                     8'h80
306 5 robfinch
 
307
`define JML                     8'h5C
308
`define JMP                     8'h4C
309
`define JMP_IND         8'h6C
310
`define JMP_INDX        8'h7C
311
`define JMP_RIND        8'hD2
312
`define JSR                     8'h20
313
`define JSL                     8'h22
314
`define JSR_INDX        8'hFC
315
`define JSR_RIND        8'hC2
316
`define RTS                     8'h60
317
`define RTL                     8'h6B
318
`define BSR                     8'h62
319
`define NOP                     8'hEA
320
 
321
`define BRK                     8'h00
322
`define PLX                     8'hFA
323
`define PLY                     8'h7A
324
`define PHX                     8'hDA
325
`define PHY                     8'h5A
326
`define WAI                     8'hCB
327
`define PUSH            8'h0B
328
`define POP                     8'h2B
329
 
330
`define LDX_IMM         8'hA2
331
`define LDX_ZP          8'hA6
332
`define LDX_ZPX         8'hB6
333
`define LDX_ZPY         8'hB6
334
`define LDX_ABS         8'hAE
335
`define LDX_ABSY        8'hBE
336
 
337
`define LDX_IMM32       8'hA2
338
`define LDX_IMM16       8'hB2
339
`define LDX_IMM8        8'hA6
340
 
341
`define LDY_IMM         8'hA0
342
`define LDY_ZP          8'hA4
343
`define LDY_ZPX         8'hB4
344
`define LDY_IMM32       8'hA0
345
`define LDY_ABS         8'hAC
346
`define LDY_ABSX        8'hBC
347
 
348
`define STX_ZP          8'h86
349
`define STX_ZPX         8'h96
350
`define STX_ZPY         8'h96
351
`define STX_ABS         8'h8E
352
 
353
`define STY_ZP          8'h84
354
`define STY_ZPX         8'h94
355
`define STY_ABS         8'h8C
356
 
357
`define STZ_ZP          8'h64
358
`define STZ_ZPX         8'h74
359
`define STZ_ABS         8'h9C
360
`define STZ_ABSX        8'h9E
361
 
362
`define CPX_IMM         8'hE0
363
`define CPX_IMM32       8'hE0
364
`define CPX_ZP          8'hE4
365
`define CPX_ZPX         8'hE4
366
`define CPX_ABS         8'hEC
367
`define CPY_IMM         8'hC0
368
`define CPY_IMM32       8'hC0
369
`define CPY_ZP          8'hC4
370
`define CPY_ZPX         8'hC4
371
`define CPY_ABS         8'hCC
372
 
373
`define TRB_ZP          8'h14
374
`define TRB_ZPX         8'h14
375
`define TRB_ABS         8'h1C
376
`define TSB_ZP          8'h04
377
`define TSB_ZPX         8'h04
378
`define TSB_ABS         8'h0C
379
 
380 10 robfinch
`define BAZ                     8'hC1
381
`define BXZ                     8'hD1
382
`define BEQ_RR          8'hE2
383 21 robfinch
`define INT0            8'hDC
384
`define INT1            8'hDD
385 23 robfinch
`define SUB_SP          8'h4B
386
`define MVP                     8'h44
387
`define MVN                     8'h54
388 10 robfinch
 
389 21 robfinch
`define NOTHING         4'd0
390
`define SR_70           4'd1
391
`define SR_310          4'd2
392
`define BYTE_70         4'd3
393
`define WORD_310        4'd4
394
`define PC_70           4'd5
395
`define PC_158          4'd6
396
`define PC_2316         4'd7
397
`define PC_3124         4'd8
398
`define PC_310          4'd9
399
`define WORD_311        4'd10
400
`define IA_310          4'd11
401
`define IA_70           4'd12
402
`define IA_158          4'd13
403
`define BYTE_71         4'd14
404 23 robfinch
`define WORD_312        4'd15
405 21 robfinch
 
406 5 robfinch
module icachemem(wclk, wr, adr, dat, rclk, pc, insn);
407
input wclk;
408
input wr;
409
input [33:0] adr;
410
input [31:0] dat;
411
input rclk;
412
input [31:0] pc;
413 21 robfinch
output reg [63:0] insn;
414 5 robfinch
 
415
wire [63:0] insn0;
416
wire [63:0] insn1;
417
wire [31:0] pcp8 = pc + 32'd8;
418
reg [31:0] rpc;
419
 
420
always @(posedge rclk)
421
        rpc <= pc;
422
 
423
// memL and memH combined allow a 64 bit read
424 10 robfinch
syncRam2kx32_1rw1r ramL0
425 5 robfinch
(
426
        .wrst(1'b0),
427
        .wclk(wclk),
428
        .wce(~adr[2]),
429
        .we(wr),
430
        .wsel(4'hF),
431 10 robfinch
        .wadr(adr[13:3]),
432 5 robfinch
        .i(dat),
433
        .wo(),
434
        .rrst(1'b0),
435
        .rclk(rclk),
436
        .rce(1'b1),
437 10 robfinch
        .radr(pc[13:3]),
438 5 robfinch
        .o(insn0[31:0])
439
);
440
 
441 10 robfinch
syncRam2kx32_1rw1r ramH0
442 5 robfinch
(
443
        .wrst(1'b0),
444
        .wclk(wclk),
445
        .wce(adr[2]),
446
        .we(wr),
447
        .wsel(4'hF),
448 10 robfinch
        .wadr(adr[13:3]),
449 5 robfinch
        .i(dat),
450
        .wo(),
451
        .rrst(1'b0),
452
        .rclk(rclk),
453
        .rce(1'b1),
454 10 robfinch
        .radr(pc[13:3]),
455 5 robfinch
        .o(insn0[63:32])
456
);
457
 
458 10 robfinch
syncRam2kx32_1rw1r ramL1
459 5 robfinch
(
460
        .wrst(1'b0),
461
        .wclk(wclk),
462
        .wce(~adr[2]),
463
        .we(wr),
464
        .wsel(4'hF),
465 10 robfinch
        .wadr(adr[13:3]),
466 5 robfinch
        .i(dat),
467
        .wo(),
468
        .rrst(1'b0),
469
        .rclk(rclk),
470
        .rce(1'b1),
471 10 robfinch
        .radr(pcp8[13:3]),
472 5 robfinch
        .o(insn1[31:0])
473
);
474
 
475 10 robfinch
syncRam2kx32_1rw1r ramH1
476 5 robfinch
(
477
        .wrst(1'b0),
478
        .wclk(wclk),
479
        .wce(adr[2]),
480
        .we(wr),
481
        .wsel(4'hF),
482 10 robfinch
        .wadr(adr[13:3]),
483 5 robfinch
        .i(dat),
484
        .wo(),
485
        .rrst(1'b0),
486
        .rclk(rclk),
487
        .rce(1'b1),
488 10 robfinch
        .radr(pcp8[13:3]),
489 5 robfinch
        .o(insn1[63:32])
490
);
491
 
492
always @(rpc or insn0 or insn1)
493
case(rpc[2:0])
494 21 robfinch
3'd0:   insn <= insn0[63:0];
495
3'd1:   insn <= {insn1[7:0],insn0[63:8]};
496
3'd2:   insn <= {insn1[15:0],insn0[63:16]};
497
3'd3:   insn <= {insn1[23:0],insn0[63:24]};
498
3'd4:   insn <= {insn1[31:0],insn0[63:32]};
499
3'd5:   insn <= {insn1[39:0],insn0[63:40]};
500
3'd6:   insn <= {insn1[47:0],insn0[63:48]};
501
3'd7:   insn <= {insn1[55:0],insn0[63:56]};
502 5 robfinch
endcase
503
endmodule
504
 
505
module tagmem(wclk, wr, adr, rclk, pc, hit0, hit1);
506
input wclk;
507
input wr;
508
input [33:0] adr;
509
input rclk;
510
input [31:0] pc;
511
output hit0;
512
output hit1;
513
 
514
wire [31:0] pcp8 = pc + 32'd8;
515
wire [31:0] tag0;
516
wire [31:0] tag1;
517
reg [31:0] rpc;
518
reg [31:0] rpcp8;
519
 
520
always @(posedge rclk)
521
        rpc <= pc;
522
always @(posedge rclk)
523
        rpcp8 <= pcp8;
524
 
525 10 robfinch
syncRam1kx32_1rw1r ram0 (
526 5 robfinch
        .wrst(1'b0),
527
        .wclk(wclk),
528
        .wce(adr[3:2]==2'b11),
529
        .we(wr),
530 10 robfinch
        .wsel(4'hF),
531
        .wadr(adr[13:4]),
532 5 robfinch
        .i(adr[31:0]),
533
        .wo(),
534
 
535 10 robfinch
        .rrst(1'b0),
536
        .rclk(rclk),
537
        .rce(1'b1),
538
        .radr(pc[13:4]),
539
        .o(tag0)
540
);
541 5 robfinch
 
542 10 robfinch
syncRam1kx32_1rw1r ram1 (
543
        .wrst(1'b0),
544
        .wclk(wclk),
545
        .wce(adr[3:2]==2'b11),
546
        .we(wr),
547
        .wsel(4'hF),
548
        .wadr(adr[13:4]),
549
        .i(adr[31:0]),
550
        .wo(),
551
 
552
        .rrst(1'b0),
553
        .rclk(rclk),
554
        .rce(1'b1),
555
        .radr(pcp8[13:4]),
556
        .o(tag1)
557 5 robfinch
);
558
 
559 10 robfinch
assign hit0 = tag0[31:14]==rpc[31:14] && tag0[0];
560
assign hit1 = tag1[31:14]==rpcp8[31:14] && tag1[0];
561 5 robfinch
 
562
endmodule
563
 
564
module dcachemem(wclk, wr, sel, wadr, wdat, rclk, radr, rdat);
565
input wclk;
566
input wr;
567
input [3:0] sel;
568
input [31:0] wadr;
569
input [31:0] wdat;
570
input rclk;
571
input [31:0] radr;
572
output [31:0] rdat;
573
 
574
syncRam2kx32_1rw1r ram0 (
575
        .wrst(1'b0),
576
        .wclk(wclk),
577
        .wce(1'b1),
578
        .we(wr),
579
        .wsel(sel),
580
        .wadr(wadr[10:0]),
581
        .i(wdat),
582
        .wo(),
583
        .rrst(1'b0),
584
        .rclk(rclk),
585
        .rce(1'b1),
586
        .radr(radr[10:0]),
587
        .o(rdat)
588
);
589
 
590
endmodule
591
 
592
module dtagmem(wclk, wr, wadr, rclk, radr, hit);
593
input wclk;
594
input wr;
595
input [31:0] wadr;
596
input rclk;
597
input [31:0] radr;
598
output hit;
599
 
600
reg [31:0] rradr;
601
wire [31:0] tag;
602
 
603
syncRam512x32_1rw1r u1
604
        (
605
                .wrst(1'b0),
606
                .wclk(wclk),
607
                .wce(wadr[1:0]==2'b11),
608
                .we(wr),
609
                .wadr(wadr[10:2]),
610
                .i(wadr),
611
                .wo(),
612
                .rrst(1'b0),
613
                .rclk(rclk),
614
                .rce(1'b1),
615
                .radr(radr[10:2]),
616
                .o(tag)
617
        );
618
 
619
 
620
always @(rclk)
621
        rradr <= radr;
622
 
623
assign hit = tag[31:11]==rradr[31:11];
624
 
625
endmodule
626
 
627
module overflow(op, a, b, s, v);
628
 
629
input op;       // 0=add,1=sub
630
input a;
631
input b;
632
input s;        // sum
633
output v;
634
 
635
// Overflow:
636
// Add: the signs of the inputs are the same, and the sign of the
637
// sum is different
638
// Sub: the signs of the inputs are different, and the sign of
639
// the sum is the same as B
640
assign v = (op ^ s ^ b) & (~op ^ a ^ b);
641
 
642 12 robfinch
endmodule
643 5 robfinch
 
644 12 robfinch
 
645 21 robfinch
module rtf65002d(rst_md, rst_i, clk_i, nmi_i, irq_i, irq_vect, bte_o, cti_o, bl_o, lock_o, cyc_o, stb_o, ack_i, err_i, we_o, sel_o, adr_o, dat_i, dat_o);
646 5 robfinch
parameter IDLE = 3'd0;
647
parameter LOAD_DCACHE = 3'd1;
648
parameter LOAD_ICACHE = 3'd2;
649
parameter LOAD_IBUF1 = 3'd3;
650
parameter LOAD_IBUF2 = 3'd4;
651
parameter LOAD_IBUF3 = 3'd5;
652 10 robfinch
parameter RESET1 = 7'd0;
653 5 robfinch
parameter IFETCH = 7'd1;
654
parameter JMP_IND1 = 7'd2;
655
parameter JMP_IND2 = 7'd3;
656
parameter DECODE = 7'd4;
657
parameter STORE1 = 7'd5;
658
parameter STORE2 = 7'd6;
659
parameter LOAD1 = 7'd7;
660
parameter LOAD2 = 7'd8;
661
parameter IRQ1 = 7'd9;
662
parameter IRQ2 = 7'd10;
663
parameter IRQ3 = 7'd11;
664
parameter CALC = 7'd12;
665
parameter JSR1 = 7'd13;
666
parameter JSR_INDX1 = 7'd14;
667
parameter JSR161 = 7'd15;
668
parameter RTS1 = 7'd16;
669
parameter RTS2 = 7'd17;
670
parameter IX1 = 7'd18;
671
parameter IX2 = 7'd19;
672
parameter IX3 = 7'd20;
673
parameter IX4 = 7'd21;
674
parameter IY1 = 7'd22;
675
parameter IY2 = 7'd23;
676
parameter IY3 = 7'd24;
677
parameter PHP1 = 7'd27;
678
parameter PLP1 = 7'd28;
679
parameter PLP2 = 7'd29;
680
parameter PLA1 = 7'd30;
681
parameter PLA2 = 7'd31;
682
parameter BSR1 = 7'd32;
683
parameter BYTE_IX1 = 7'd33;
684
parameter BYTE_IX2 = 7'd34;
685
parameter BYTE_IX3 = 7'd35;
686
parameter BYTE_IX4 = 7'd36;
687
parameter BYTE_IX5 = 7'd37;
688
parameter BYTE_IY1 = 7'd38;
689
parameter BYTE_IY2 = 7'd39;
690
parameter BYTE_IY3 = 7'd40;
691
parameter BYTE_IY4 = 7'd41;
692
parameter BYTE_IY5 = 7'd42;
693
parameter RTS3 = 7'd43;
694
parameter RTS4 = 7'd44;
695
parameter RTS5 = 7'd45;
696
parameter BYTE_JSR1 = 7'd46;
697
parameter BYTE_JSR2 = 7'd47;
698
parameter BYTE_JSR3 = 7'd48;
699
parameter BYTE_IRQ1 = 7'd49;
700
parameter BYTE_IRQ2 = 7'd50;
701
parameter BYTE_IRQ3 = 7'd51;
702
parameter BYTE_IRQ4 = 7'd52;
703
parameter BYTE_IRQ5 = 7'd53;
704
parameter BYTE_IRQ6 = 7'd54;
705
parameter BYTE_IRQ7 = 7'd55;
706
parameter BYTE_IRQ8 = 7'd56;
707
parameter BYTE_IRQ9 = 7'd57;
708
parameter BYTE_JMP_IND1 = 7'd58;
709
parameter BYTE_JMP_IND2 = 7'd59;
710
parameter BYTE_JMP_IND3 = 7'd60;
711
parameter BYTE_JMP_IND4 = 7'd61;
712
parameter BYTE_JSR_INDX1 = 7'd62;
713
parameter BYTE_JSR_INDX2 = 7'd63;
714
parameter BYTE_JSR_INDX3 = 7'd64;
715
parameter RTI1 = 7'd65;
716
parameter RTI2 = 7'd66;
717
parameter RTI3 = 7'd67;
718
parameter RTI4 = 7'd68;
719
parameter BYTE_RTS1 = 7'd69;
720
parameter BYTE_RTS2 = 7'd70;
721
parameter BYTE_RTS3 = 7'd71;
722
parameter BYTE_RTS4 = 7'd72;
723
parameter BYTE_RTS5 = 7'd73;
724
parameter BYTE_RTS6 = 7'd74;
725
parameter BYTE_RTS7 = 7'd75;
726
parameter BYTE_RTS8 = 7'd76;
727
parameter BYTE_RTS9 = 7'd77;
728
parameter BYTE_RTI1 = 7'd78;
729
parameter BYTE_RTI2 = 7'd79;
730
parameter BYTE_RTI3 = 7'd80;
731
parameter BYTE_RTI4 = 7'd81;
732
parameter BYTE_RTI5 = 7'd82;
733
parameter BYTE_RTI6 = 7'd83;
734
parameter BYTE_RTI7 = 7'd84;
735
parameter BYTE_RTI8 = 7'd85;
736
parameter BYTE_RTI9 = 7'd86;
737
parameter BYTE_RTI10 = 7'd87;
738
parameter BYTE_JSL1 = 7'd88;
739
parameter BYTE_JSL2 = 7'd89;
740
parameter BYTE_JSL3 = 7'd90;
741
parameter BYTE_JSL4 = 7'd91;
742
parameter BYTE_JSL5 = 7'd92;
743
parameter BYTE_JSL6 = 7'd93;
744
parameter BYTE_JSL7 = 7'd94;
745
parameter BYTE_PLP1 = 7'd95;
746
parameter BYTE_PLP2 = 7'd96;
747
parameter BYTE_PLA1 = 7'd97;
748
parameter BYTE_PLA2 = 7'd98;
749 10 robfinch
parameter WAIT_DHIT = 7'd99;
750
parameter RESET2 = 7'd100;
751 12 robfinch
parameter MULDIV1 = 7'd101;
752
parameter MULDIV2 = 7'd102;
753 20 robfinch
parameter BYTE_DECODE = 7'd103;
754
parameter BYTE_CALC = 7'd104;
755 21 robfinch
parameter BUS_ERROR = 7'd105;
756
parameter INSN_BUS_ERROR = 7'd106;
757
parameter LOAD_MAC1 = 7'd107;
758
parameter LOAD_MAC2 = 7'd108;
759 23 robfinch
parameter MVN1 = 7'd109;
760
parameter MVN2 = 7'd110;
761
parameter MVN3 = 7'd111;
762
parameter MVP1 = 7'd112;
763
parameter MVP2 = 7'd113;
764 5 robfinch
 
765 21 robfinch
input rst_md;           // reset mode, 1=emulation mode, 0=native mode
766 5 robfinch
input rst_i;
767
input clk_i;
768
input nmi_i;
769
input irq_i;
770 13 robfinch
input [8:0] irq_vect;
771 5 robfinch
output reg [1:0] bte_o;
772
output reg [2:0] cti_o;
773
output reg [5:0] bl_o;
774
output reg lock_o;
775
output reg cyc_o;
776
output reg stb_o;
777
input ack_i;
778 21 robfinch
input err_i;
779 5 robfinch
output reg we_o;
780
output reg [3:0] sel_o;
781
output reg [33:0] adr_o;
782
input [31:0] dat_i;
783
output reg [31:0] dat_o;
784
 
785
reg [6:0] state;
786 10 robfinch
reg [6:0] retstate;
787 5 robfinch
reg [2:0] cstate;
788 21 robfinch
wire [63:0] insn;
789
reg [63:0] ibuf;
790 5 robfinch
reg [31:0] bufadr;
791
 
792
reg cf,nf,zf,vf,bf,im,df,em;
793
reg em1;
794 10 robfinch
reg gie;
795 5 robfinch
reg nmoi;       // native mode on interrupt
796
wire [31:0] sr = {nf,vf,em,24'b0,bf,df,im,zf,cf};
797
wire [7:0] sr8 = {nf,vf,1'b0,bf,df,im,zf,cf};
798
reg nmi1,nmi_edge;
799
reg wai;
800
reg [31:0] acc;
801
reg [31:0] x;
802
reg [31:0] y;
803
reg [7:0] sp;
804 13 robfinch
reg [31:0] spage;        // stack page
805 5 robfinch
wire [7:0] acc8 = acc[7:0];
806
wire [7:0] x8 = x[7:0];
807
wire [7:0] y8 = y[7:0];
808
reg [31:0] isp;          // interrupt stack pointer
809 12 robfinch
wire [63:0] prod;
810
wire [31:0] q,r;
811
reg [31:0] tick;
812 5 robfinch
wire [7:0] sp_dec = sp - 8'd1;
813
wire [7:0] sp_inc = sp + 8'd1;
814
wire [31:0] isp_dec = isp - 32'd1;
815
wire [31:0] isp_inc = isp + 32'd1;
816
reg [31:0] pc;
817 23 robfinch
reg [31:0] opc;
818 5 robfinch
wire [31:0] pcp1 = pc + 32'd1;
819
wire [31:0] pcp2 = pc + 32'd2;
820
wire [31:0] pcp3 = pc + 32'd3;
821
wire [31:0] pcp4 = pc + 32'd4;
822
wire [31:0] pcp8 = pc + 32'd8;
823 13 robfinch
reg [31:0] dp;           // 32 bit mode direct page register
824
reg [31:0] dp8;          // 8 bit mode direct page register
825
reg [31:0] abs8; // 8 bit mode absolute address register
826
reg [31:0] vbr;          // vector table base register
827 5 robfinch
wire bhit=pc==bufadr;
828
reg [31:0] regfile [15:0];
829 21 robfinch
reg [63:0] ir;
830 5 robfinch
wire [3:0] Ra = ir[11:8];
831
wire [3:0] Rb = ir[15:12];
832
reg [31:0] rfoa;
833
reg [31:0] rfob;
834
always @(Ra or x or y or acc)
835
case(Ra)
836
4'h0:   rfoa <= 32'd0;
837
4'h1:   rfoa <= acc;
838
4'h2:   rfoa <= x;
839
4'h3:   rfoa <= y;
840
default:        rfoa <= regfile[Ra];
841
endcase
842
always @(Rb or x or y or acc)
843
case(Rb)
844
4'h0:   rfob <= 32'd0;
845
4'h1:   rfob <= acc;
846
4'h2:   rfob <= x;
847
4'h3:   rfob <= y;
848
default:        rfob <= regfile[Rb];
849
endcase
850
reg [3:0] Rt;
851
reg [33:0] ea;
852
reg first_ifetch;
853 12 robfinch
reg [31:0] lfsr;
854
wire lfsr_fb;
855
xnor(lfsr_fb,lfsr[0],lfsr[1],lfsr[21],lfsr[31]);
856 5 robfinch
reg [31:0] a, b;
857 19 robfinch
wire [31:0] shlo = a << b[4:0];
858
wire [31:0] shro = a >> b[4:0];
859 5 robfinch
reg [7:0] b8;
860
reg [32:0] res;
861
reg [8:0] res8;
862
wire resv8,resv32;
863
wire resc8 = res8[8];
864
wire resc32 = res[32];
865
wire resz8 = res8[7:0]==8'h00;
866
wire resz32 = res[31:0]==32'd0;
867
wire resn8 = res8[7];
868
wire resn32 = res[31];
869
wire resn = em ? res8[7] : res[31];
870
wire resz = em ? res8[7:0]==8'h00 : res[31:0]==32'd0;
871
wire resc = em ? res8[8] : res[32];
872
wire resv = em ? resv8 : resv32;
873
 
874
reg [31:0] vect;
875
reg [31:0] ia;                   // temporary reg to hold indirect address
876 20 robfinch
wire [31:0] iapy8 = abs8 + ia + y[7:0];
877 5 robfinch
reg isInsnCacheLoad;
878
reg isDataCacheLoad;
879 10 robfinch
reg isCacheReset;
880 5 robfinch
wire hit0,hit1;
881
wire dhit;
882 10 robfinch
reg write_allocate;
883 5 robfinch
reg wr;
884
reg [3:0] wrsel;
885
reg [31:0] radr;
886
reg [1:0] radr2LSB;
887
wire [33:0] radr34 = {radr,radr2LSB};
888
wire [33:0] radr34p1 = radr34 + 34'd1;
889
reg [31:0] wadr;
890
reg [1:0] wadr2LSB;
891
reg [31:0] wdat;
892
wire [31:0] rdat;
893 21 robfinch
reg [3:0] load_what;
894
reg [3:0] store_what;
895 23 robfinch
reg [31:0] derr_address;
896 5 robfinch
reg imiss;
897
reg dmiss;
898
reg icacheOn,dcacheOn;
899 20 robfinch
wire unCachedData = radr[31:20]==12'hFFD || !dcacheOn;  // I/O area is uncached
900
wire unCachedInsn = pc[31:13]==19'h0 || !icacheOn;              // The lowest 8kB is uncached.
901 5 robfinch
 
902
wire isSub = ir[7:0]==`SUB_ZPX || ir[7:0]==`SUB_IX || ir[7:0]==`SUB_IY ||
903
                         ir[7:0]==`SUB_ABS || ir[7:0]==`SUB_ABSX || ir[7:0]==`SUB_IMM8 || ir[7:0]==`SUB_IMM16 || ir[7:0]==`SUB_IMM32;
904
wire isSub8 = ir[7:0]==`SBC_ZP || ir[7:0]==`SBC_ZPX || ir[7:0]==`SBC_IX || ir[7:0]==`SBC_IY || ir[7:0]==`SBC_I ||
905
                         ir[7:0]==`SBC_ABS || ir[7:0]==`SBC_ABSX || ir[7:0]==`SBC_ABSY || ir[7:0]==`SBC_IMM;
906
wire isCmp = ir[7:0]==`CPX_ZPX || ir[7:0]==`CPX_ABS || ir[7:0]==`CPX_IMM32 ||
907
                         ir[7:0]==`CPY_ZPX || ir[7:0]==`CPY_ABS || ir[7:0]==`CPY_IMM32;
908
wire isRMW32 =
909
                         ir[7:0]==`ASL_ZPX || ir[7:0]==`ROL_ZPX || ir[7:0]==`LSR_ZPX || ir[7:0]==`ROR_ZPX || ir[7:0]==`INC_ZPX || ir[7:0]==`DEC_ZPX ||
910
                         ir[7:0]==`ASL_ABS || ir[7:0]==`ROL_ABS || ir[7:0]==`LSR_ABS || ir[7:0]==`ROR_ABS || ir[7:0]==`INC_ABS || ir[7:0]==`DEC_ABS ||
911
                         ir[7:0]==`ASL_ABSX || ir[7:0]==`ROL_ABSX || ir[7:0]==`LSR_ABSX || ir[7:0]==`ROR_ABSX || ir[7:0]==`INC_ABSX || ir[7:0]==`DEC_ABSX ||
912
                         ir[7:0]==`TRB_ZP || ir[7:0]==`TRB_ZPX || ir[7:0]==`TRB_ABS || ir[7:0]==`TSB_ZP || ir[7:0]==`TSB_ZPX || ir[7:0]==`TSB_ABS;
913
                         ;
914
wire isRMW8 =
915
                         ir[7:0]==`ASL_ZP || ir[7:0]==`ROL_ZP || ir[7:0]==`LSR_ZP || ir[7:0]==`ROR_ZP || ir[7:0]==`INC_ZP || ir[7:0]==`DEC_ZP ||
916
                         ir[7:0]==`ASL_ZPX || ir[7:0]==`ROL_ZPX || ir[7:0]==`LSR_ZPX || ir[7:0]==`ROR_ZPX || ir[7:0]==`INC_ZPX || ir[7:0]==`DEC_ZPX ||
917
                         ir[7:0]==`ASL_ABS || ir[7:0]==`ROL_ABS || ir[7:0]==`LSR_ABS || ir[7:0]==`ROR_ABS || ir[7:0]==`INC_ABS || ir[7:0]==`DEC_ABS ||
918
                         ir[7:0]==`ASL_ABSX || ir[7:0]==`ROL_ABSX || ir[7:0]==`LSR_ABSX || ir[7:0]==`ROR_ABSX || ir[7:0]==`INC_ABSX || ir[7:0]==`DEC_ABSX ||
919
                         ir[7:0]==`TRB_ZP || ir[7:0]==`TRB_ZPX || ir[7:0]==`TRB_ABS || ir[7:0]==`TSB_ZP || ir[7:0]==`TSB_ZPX || ir[7:0]==`TSB_ABS;
920
                         ;
921
wire isRMW = em ? isRMW8 : isRMW32;
922
wire isOrb = ir[7:0]==`ORB_ZPX || ir[7:0]==`ORB_IX || ir[7:0]==`ORB_IY || ir[7:0]==`ORB_ABS || ir[7:0]==`ORB_ABSX;
923
wire isStb = ir[7:0]==`STB_ZPX || ir[7:0]==`STB_ABS || ir[7:0]==`STB_ABSX;
924 21 robfinch
wire isRTI = ir[7:0]==`RTI;
925
wire isRTL = ir[7:0]==`RTL;
926
wire isRTS = ir[7:0]==`RTS;
927 23 robfinch
wire isMove = ir[7:0]==`MVP || ir[7:0]==`MVN;
928 12 robfinch
wire ld_muldiv = state==DECODE && ir[7:0]==`RR;
929
wire md_done;
930
wire clk;
931 21 robfinch
reg isIY;
932 12 robfinch
 
933
mult_div umd1
934
(
935
        .rst(rst),
936
        .clk(clk),
937
        .ld(ld_muldiv),
938
        .op(ir[23:20]),
939
        .a(rfoa),
940
        .b(rfob),
941
        .p(prod),
942
        .q(q),
943
        .r(r),
944
        .done(md_done)
945
);
946
 
947 5 robfinch
icachemem icm0 (
948 12 robfinch
        .wclk(clk),
949 5 robfinch
        .wr(ack_i & isInsnCacheLoad),
950
        .adr(adr_o),
951
        .dat(dat_i),
952
        .rclk(~clk_i),
953
        .pc(pc),
954
        .insn(insn)
955
);
956
 
957
tagmem tgm0 (
958 12 robfinch
        .wclk(clk),
959 10 robfinch
        .wr((ack_i & isInsnCacheLoad)|isCacheReset),
960
        .adr({adr_o[31:1],!isCacheReset}),
961 5 robfinch
        .rclk(~clk_i),
962
        .pc(pc),
963
        .hit0(hit0),
964
        .hit1(hit1)
965
);
966
 
967
wire ihit = (hit0 & hit1);//(pc[2:0] > 3'd1 ? hit1 : 1'b1));
968
 
969
dcachemem dcm0 (
970 12 robfinch
        .wclk(clk),
971 5 robfinch
        .wr(wr | (ack_i & isDataCacheLoad)),
972
        .sel(wr ? wrsel : sel_o),
973
        .wadr(wr ? wadr : adr_o[33:2]),
974
        .wdat(wr ? wdat : dat_i),
975
        .rclk(~clk_i),
976
        .radr(radr),
977
        .rdat(rdat)
978
);
979
 
980
dtagmem dtm0 (
981 12 robfinch
        .wclk(clk),
982 5 robfinch
        .wr(wr | (ack_i & isDataCacheLoad)),
983
        .wadr(wr ? wadr : adr_o[33:2]),
984
        .rclk(~clk_i),
985
        .radr(radr),
986
        .hit(dhit)
987
);
988
 
989
overflow uovr1 (
990
        .op(isSub),
991
        .a(a[31]),
992
        .b(b[31]),
993
        .s(res[31]),
994
        .v(resv32)
995
);
996
 
997
overflow uovr2 (
998
        .op(isSub8),
999
        .a(acc8[7]),
1000
        .b(b8[7]),
1001
        .s(res8[7]),
1002
        .v(resv8)
1003
);
1004
 
1005
wire [7:0] bcaio;
1006
wire [7:0] bcao;
1007
wire [7:0] bcsio;
1008
wire [7:0] bcso;
1009
wire bcaico,bcaco,bcsico,bcsco;
1010
 
1011
BCDAdd ubcdai1 (.ci(cf),.a(acc8),.b(ir[15:8]),.o(bcaio),.c(bcaico));
1012
BCDAdd ubcda2 (.ci(cf),.a(acc8),.b(b8),.o(bcao),.c(bcaco));
1013
BCDSub ubcdsi1 (.ci(cf),.a(acc8),.b(ir[15:8]),.o(bcsio),.c(bcsico));
1014
BCDSub ubcds2 (.ci(cf),.a(acc8),.b(b8),.o(bcso),.c(bcsco));
1015
 
1016
reg [7:0] dati;
1017
always @(radr2LSB or dat_i)
1018
case(radr2LSB)
1019
2'd0:   dati <= dat_i[7:0];
1020
2'd1:   dati <= dat_i[15:8];
1021
2'd2:   dati <= dat_i[23:16];
1022
2'd3:   dati <= dat_i[31:24];
1023
endcase
1024
reg [7:0] rdat8;
1025
always @(radr2LSB or rdat)
1026
case(radr2LSB)
1027
2'd0:   rdat8 <= rdat[7:0];
1028
2'd1:   rdat8 <= rdat[15:8];
1029
2'd2:   rdat8 <= rdat[23:16];
1030
2'd3:   rdat8 <= rdat[31:24];
1031
endcase
1032
 
1033
reg takb;
1034
always @(ir or cf or vf or nf or zf)
1035
case(ir[7:0])
1036
`BEQ:   takb <= zf;
1037
`BNE:   takb <= !zf;
1038
`BPL:   takb <= !nf;
1039
`BMI:   takb <= nf;
1040
`BCS:   takb <= cf;
1041
`BCC:   takb <= !cf;
1042
`BVS:   takb <= vf;
1043
`BVC:   takb <= !vf;
1044
`BRA:   takb <= 1'b1;
1045
`BRL:   takb <= 1'b1;
1046 10 robfinch
//`BAZ: takb <= acc8==8'h00;
1047
//`BXZ: takb <= x8==8'h00;
1048 5 robfinch
default:        takb <= 1'b0;
1049
endcase
1050
 
1051 13 robfinch
wire [31:0] zpx_address = dp8 + ir[15:8] + x8;
1052
wire [31:0] zpy_address = dp8 + ir[15:8] + y8;
1053
wire [31:0] zp_address = dp8 + ir[15:8];
1054
wire [31:0] abs_address = abs8 + {16'h0,ir[23:8]};
1055
wire [31:0] absx_address = abs8 + {16'h0,ir[23:8] + {8'h0,x8}};
1056
wire [31:0] absy_address = abs8 + {16'h0,ir[23:8] + {8'h0,y8}};
1057 5 robfinch
wire [31:0] zpx32xy_address = dp + ir[23:12] + rfoa;
1058
wire [31:0] absx32xy_address = ir[47:16] + rfob;
1059
wire [31:0] zpx32_address = dp + ir[31:20] + rfob;
1060
wire [31:0] absx32_address = ir[55:24] + rfob;
1061
 
1062
//-----------------------------------------------------------------------------
1063
// Clock control
1064
// - reset or NMI reenables the clock
1065
// - this circuit must be under the clk_i domain
1066
//-----------------------------------------------------------------------------
1067
//
1068
reg cpu_clk_en;
1069
reg clk_en;
1070
BUFGCE u20 (.CE(cpu_clk_en), .I(clk_i), .O(clk) );
1071
 
1072
always @(posedge clk_i)
1073
if (rst_i) begin
1074
        cpu_clk_en <= 1'b1;
1075
        nmi1 <= 1'b0;
1076
end
1077
else begin
1078
        nmi1 <= nmi_i;
1079
        if (nmi_i)
1080
                cpu_clk_en <= 1'b1;
1081
        else
1082
                cpu_clk_en <= clk_en;
1083
end
1084
 
1085
always @(posedge clk)
1086
if (rst_i) begin
1087
        bte_o <= 2'b00;
1088
        cti_o <= 3'b000;
1089
        bl_o <= 6'd0;
1090
        cyc_o <= 1'b0;
1091
        stb_o <= 1'b0;
1092
        we_o <= 1'b0;
1093
        sel_o <= 4'h0;
1094
        adr_o <= 34'd0;
1095
        dat_o <= 32'd0;
1096
        nmi_edge <= 1'b0;
1097
        wai <= 1'b0;
1098
        first_ifetch <= `TRUE;
1099
        wr <= 1'b0;
1100
        cf <= 1'b0;
1101
        ir <= 56'hEAEAEAEAEAEAEA;
1102
        imiss <= `FALSE;
1103
        dmiss <= `FALSE;
1104
        dcacheOn <= 1'b0;
1105
        icacheOn <= 1'b1;
1106 10 robfinch
        write_allocate <= 1'b0;
1107 5 robfinch
        nmoi <= 1'b1;
1108 10 robfinch
        state <= RESET1;
1109 5 robfinch
        cstate <= IDLE;
1110 21 robfinch
        if (rst_md) begin
1111
                pc <= 32'h0000FFF0;             // set high-order pc to zero
1112
                vect <= `BYTE_RST_VECT;
1113
                em <= 1'b1;
1114
        end
1115
        else begin
1116
                vect <= `RST_VECT;
1117
                em <= 1'b0;
1118
                pc <= 32'hFFFFFFF0;
1119
        end
1120 13 robfinch
        spage <= 32'h00000100;
1121 5 robfinch
        bufadr <= 32'd0;
1122
        dp <= 32'd0;
1123 13 robfinch
        dp8 <= 32'd0;
1124
        abs8 <= 32'd0;
1125 5 robfinch
        clk_en <= 1'b1;
1126 10 robfinch
        isCacheReset <= `TRUE;
1127
        gie <= 1'b0;
1128 12 robfinch
        tick <= 32'd0;
1129 21 robfinch
        isIY <= 1'b0;
1130 5 robfinch
end
1131
else begin
1132 12 robfinch
tick <= tick + 32'd1;
1133 5 robfinch
wr <= 1'b0;
1134
if (nmi_i & !nmi1)
1135
        nmi_edge <= 1'b1;
1136
if (nmi_i|nmi1)
1137
        clk_en <= 1'b1;
1138
case(state)
1139 10 robfinch
RESET1:
1140 5 robfinch
        begin
1141 10 robfinch
                adr_o <= adr_o + 32'd4;
1142
                if (adr_o[13:4]==10'h3FF) begin
1143
                        state <= RESET2;
1144
                        isCacheReset <= `FALSE;
1145
                end
1146
        end
1147
RESET2:
1148
        begin
1149 5 robfinch
                radr <= vect[31:2];
1150 21 robfinch
                radr2LSB <= vect[1:0];
1151
                load_what <= em ? `PC_70 : `PC_310;
1152
                state <= LOAD_MAC1;
1153 5 robfinch
        end
1154
 
1155 20 robfinch
`include "ifetch.v"
1156
`include "decode.v"
1157
`include "byte_decode.v"
1158 10 robfinch
 
1159 21 robfinch
`include "load_mac.v"
1160 20 robfinch
`include "store.v"
1161 10 robfinch
 
1162
WAIT_DHIT:
1163
        if (dhit)
1164
                state <= retstate;
1165 5 robfinch
 
1166 20 robfinch
`include "byte_calc.v"
1167 5 robfinch
`include "calc.v"
1168 20 robfinch
`include "byte_jsr.v"
1169
`include "byte_jsl.v"
1170 5 robfinch
 
1171
JSR1:
1172
        if (ack_i) begin
1173 10 robfinch
                state <= IFETCH;
1174
                retstate <= IFETCH;
1175 5 robfinch
                cyc_o <= 1'b0;
1176
                stb_o <= 1'b0;
1177
                we_o <= 1'b0;
1178
                sel_o <= 4'h0;
1179
                adr_o <= 34'd0;
1180
                dat_o <= 32'd0;
1181
                pc <= vect;
1182
                isp <= isp_dec;
1183
                if (dhit) begin
1184
                        wrsel <= sel_o;
1185
                        wr <= 1'b1;
1186
                end
1187 10 robfinch
                else if (write_allocate) begin
1188
                        state <= WAIT_DHIT;
1189
                        dmiss <= `TRUE;
1190
                end
1191 5 robfinch
        end
1192
 
1193
JSR_INDX1:
1194
        if (ack_i) begin
1195 21 robfinch
                load_what <= `PC_310;
1196
                state <= LOAD_MAC1;
1197
                retstate <= LOAD_MAC1;
1198 5 robfinch
                cyc_o <= 1'b0;
1199
                stb_o <= 1'b0;
1200
                we_o <= 1'b0;
1201
                sel_o <= 4'h0;
1202
                adr_o <= 34'd0;
1203
                dat_o <= 32'd0;
1204
                radr <= ir[39:8] + x;
1205
                isp <= isp_dec;
1206
                if (dhit) begin
1207
                        wrsel <= sel_o;
1208
                        wr <= 1'b1;
1209
                end
1210 10 robfinch
                else if (write_allocate) begin
1211
                        dmiss <= `TRUE;
1212
                        state <= WAIT_DHIT;
1213
                end
1214 5 robfinch
        end
1215 20 robfinch
 
1216 5 robfinch
JSR161:
1217
        if (ack_i) begin
1218 10 robfinch
                state <= IFETCH;
1219
                retstate <= IFETCH;
1220 5 robfinch
                cyc_o <= 1'b0;
1221
                stb_o <= 1'b0;
1222
                we_o <= 1'b0;
1223
                sel_o <= 4'h0;
1224
                pc <= {{16{ir[23]}},ir[23:8]};
1225
                isp <= isp_dec;
1226
                if (dhit) begin
1227
                        wrsel <= sel_o;
1228
                        wr <= 1'b1;
1229
                end
1230 10 robfinch
                else if (write_allocate) begin
1231
                        state <= WAIT_DHIT;
1232
                        dmiss <= `TRUE;
1233
                end
1234 5 robfinch
        end
1235
 
1236 20 robfinch
`include "php.v"
1237 5 robfinch
`include "byte_irq.v"
1238
 
1239
IRQ1:
1240
        if (ack_i) begin
1241 21 robfinch
                ir <= 64'd0;            // Force instruction decoder to BRK
1242 10 robfinch
                state <= IRQ2;
1243
                retstate <= IRQ2;
1244 5 robfinch
                cyc_o <= 1'b0;
1245
                stb_o <= 1'b0;
1246
                we_o <= 1'b0;
1247
                sel_o <= 4'h0;
1248
                isp <= isp_dec;
1249
                if (dhit) begin
1250
                        wrsel <= sel_o;
1251
                        wr <= 1'b1;
1252
                end
1253 10 robfinch
                else if (write_allocate) begin
1254
                        state <= WAIT_DHIT;
1255
                        dmiss <= `TRUE;
1256
                end
1257 5 robfinch
        end
1258
IRQ2:
1259
        begin
1260
                cyc_o <= 1'b1;
1261
                stb_o <= 1'b1;
1262
                we_o <= 1'b1;
1263
                sel_o <= 4'hF;
1264
                radr <= isp_dec;
1265
                wadr <= isp_dec;
1266
                wdat <= sr;
1267
                adr_o <= {isp_dec,2'b00};
1268
                dat_o <= sr;
1269
                state <= IRQ3;
1270
        end
1271
IRQ3:
1272
        if (ack_i) begin
1273 21 robfinch
                load_what <= `PC_310;
1274
                state <= LOAD_MAC1;
1275
                retstate <= LOAD_MAC1;
1276 5 robfinch
                cyc_o <= 1'b0;
1277
                stb_o <= 1'b0;
1278
                we_o <= 1'b0;
1279
                sel_o <= 4'h0;
1280
                isp <= isp_dec;
1281
                if (dhit) begin
1282
                        wrsel <= sel_o;
1283
                        wr <= 1'b1;
1284
                end
1285 10 robfinch
                else if (write_allocate) begin
1286
                        dmiss <= `TRUE;
1287
                        state <= WAIT_DHIT;
1288
                end
1289 5 robfinch
                radr <= vect[31:2];
1290
                if (!bf)
1291
                        im <= 1'b1;
1292
                em <= 1'b0;                     // make sure we process in native mode; we might have been called up during emulation mode
1293
        end
1294 21 robfinch
 
1295 12 robfinch
MULDIV1:
1296
        state <= MULDIV2;
1297
MULDIV2:
1298
        if (md_done) begin
1299
                state <= IFETCH;
1300
                case(ir[23:20])
1301
                `MUL_RR:        begin res <= prod[31:0]; end
1302
                `MULS_RR:       begin res <= prod[31:0]; end
1303
                `DIV_RR:        begin res <= q; end
1304
                `DIVS_RR:       begin res <= q; end
1305
                `MOD_RR:        begin res <= r; end
1306
                `MODS_RR:       begin res <= r; end
1307
                endcase
1308
        end
1309
 
1310 21 robfinch
BUS_ERROR:
1311
        begin
1312
                radr <= isp_dec;
1313
                wadr <= isp_dec;
1314 23 robfinch
                wdat <= opc;
1315
                if (em | isOrb | isStb)
1316
                        derr_address <= adr_o[31:0];
1317
                else
1318
                        derr_address <= adr_o[33:2];
1319 21 robfinch
                cyc_o <= 1'b1;
1320
                stb_o <= 1'b1;
1321
                we_o <= 1'b1;
1322
                sel_o <= 4'hF;
1323
                adr_o <= {isp_dec,2'b00};
1324 23 robfinch
                dat_o <= opc;
1325 21 robfinch
                vect <= {vbr[31:9],9'd508,2'b00};
1326
                state <= IRQ1;
1327
        end
1328
INSN_BUS_ERROR:
1329
        begin
1330
                radr <= isp_dec;
1331
                wadr <= isp_dec;
1332 23 robfinch
                wdat <= opc;
1333 21 robfinch
                cyc_o <= 1'b1;
1334
                stb_o <= 1'b1;
1335
                we_o <= 1'b1;
1336
                sel_o <= 4'hF;
1337
                adr_o <= {isp_dec,2'b00};
1338 23 robfinch
                dat_o <= opc;
1339 21 robfinch
                vect <= {vbr[31:9],9'd509,2'b00};
1340
                state <= IRQ1;
1341
        end
1342
 
1343 23 robfinch
MVN1:
1344
        begin
1345
                radr <= x;
1346
                x <= x + 32'd1;
1347
                retstate <= MVN2;
1348
                load_what <= `WORD_312;
1349
                state <= LOAD_MAC1;
1350
        end
1351
MVN2:
1352
        begin
1353
                wadr <= y;
1354
                wdat <= b;
1355
                y <= y + 32'd1;
1356
                acc <= acc - 32'd1;
1357
                state <= STORE1;
1358
        end
1359
MVN3:
1360
        begin
1361
                state <= IFETCH;
1362
                if (acc==32'hFFFFFFFF)
1363
                        pc <= pc + 32'd1;
1364
        end
1365
MVP1:
1366
        begin
1367
                radr <= x;
1368
                x <= x - 32'd1;
1369
                retstate <= MVP2;
1370
                load_what <= `WORD_312;
1371
                state <= LOAD_MAC1;
1372
        end
1373
MVP2:
1374
        begin
1375
                wadr <= y;
1376
                wdat <= b;
1377
                y <= y - 32'd1;
1378
                acc <= acc - 32'd1;
1379
                state <= STORE1;
1380
        end
1381
 
1382 5 robfinch
endcase
1383
 
1384
`include "cache_controller.v"
1385
 
1386
end
1387
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.