OpenCores
URL https://opencores.org/ocsvn/rtfbitmapcontroller/rtfbitmapcontroller/trunk

Subversion Repositories rtfbitmapcontroller

[/] [rtfbitmapcontroller/] [trunk/] [rtl/] [verilog/] [gfx_CalcAddress4.v] - Blame information for rev 20

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 19 robfinch
// ============================================================================
2
//        __
3
//   \\__/ o\    (C) 2015-2016  Robert Finch, Stratford
4
//    \  __ /    All rights reserved.
5
//     \/_//     robfinch<remove>@finitron.ca
6
//       ||
7
//
8
//
9
// This source file is free software: you can redistribute it and/or modify 
10
// it under the terms of the GNU Lesser General Public License as published 
11
// by the Free Software Foundation, either version 3 of the License, or     
12
// (at your option) any later version.                                      
13
//                                                                          
14
// This source file is distributed in the hope that it will be useful,      
15
// but WITHOUT ANY WARRANTY; without even the implied warranty of           
16
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the            
17
// GNU General Public License for more details.                             
18
//                                                                          
19
// You should have received a copy of the GNU General Public License        
20
// along with this program.  If not, see <http://www.gnu.org/licenses/>.    
21
//                                                                          
22
//      Verilog 1995
23
//
24
// ref: XC7a100t-1CSG324
25
// ============================================================================
26
//
27
// Compute the graphics address
28
//
29
module gfx_CalcAddress(clk, base_address_i, color_depth_i, hdisplayed_i, x_coord_i, y_coord_i,
30
        address_o, mb_o, me_o);
31
input clk;
32
input [31:0] base_address_i;
33
input [3:0] color_depth_i;
34
input [11:0] hdisplayed_i;       // pixel per line
35
input [11:0] x_coord_i;
36
input [11:0] y_coord_i;
37
output [31:0] address_o;
38
output [6:0] mb_o;
39
output [6:0] me_o;
40
 
41 20 robfinch
parameter BPP6 = 3'd0;
42 19 robfinch
parameter BPP8 = 3'd1;
43
parameter BPP12 = 3'd2;
44
parameter BPP16 = 3'd3;
45
parameter BPP24 = 3'd4;
46
parameter BPP32 = 3'd5;
47
 
48 20 robfinch
// This coefficient is a fixed point fraction representing the inverse of the
49
// number of pixels per strip. The inverse (reciprocal) is used for a high
50
// speed divide operation.
51 19 robfinch
reg [15:0] coeff;
52
always @(color_depth_i)
53
case(color_depth_i)
54 20 robfinch
BPP6: coeff = 3121; // 1/21 * 65536
55 19 robfinch
BPP8:   coeff = 4096;   // 1/16 * 65536
56
BPP12:  coeff = 6554;   // 1/10 * 65536
57
BPP16:  coeff = 8192;   // 1/8 * 65536
58
BPP24:  coeff = 13107;  // 1/5 * 65536
59
BPP32:  coeff = 16384;  // 1/4 * 65536
60
endcase
61
 
62 20 robfinch
// Bits per pixel minus one.
63 19 robfinch
reg [5:0] bpp;
64
always @(color_depth_i)
65
case(color_depth_i)
66 20 robfinch
BPP6: bpp = 5;
67 19 robfinch
BPP8:   bpp = 7;
68
BPP12:  bpp = 11;
69
BPP16:  bpp = 15;
70
BPP24:  bpp = 23;
71
BPP32:  bpp = 31;
72
endcase
73
 
74 20 robfinch
// This coefficient is the number of bits used by all pixels in the strip. 
75
// Used to determine pixel placement in the strip.
76 19 robfinch
reg [7:0] coeff2;
77
always @(color_depth_i)
78
case(color_depth_i)
79 20 robfinch
BPP6: coeff2 = 126;
80 19 robfinch
BPP8:   coeff2 = 128;
81
BPP12:  coeff2 = 120;
82
BPP16:  coeff2 = 128;
83
BPP24:  coeff2 = 120;
84
BPP32:  coeff2 = 128;
85
endcase
86
 
87 20 robfinch
// Compute the fixed point horizonal strip number value. This has 16 binary
88
// point places.
89 19 robfinch
wire [27:0] strip_num65k = x_coord_i * coeff;
90 20 robfinch
// Truncate off the binary fraction to get the strip number. The strip
91
// number will be used to form part of the address.
92
wire [13:0] strip_num = strip_num65k[27:16];
93
// Calculate pixel position within strip using the fractional part of the
94
// horizontal strip number.
95
wire [15:0] strip_fract = strip_num65k[15:0]+16'h7F;  // +7F to round
96
// Pixel beginning bit is ratio of pixel # into all bits used by pixels
97 19 robfinch
wire [15:0] ndx = strip_fract[15:7] * coeff2;
98 20 robfinch
assign mb_o = ndx[15:9];  // Get whole pixel position (discard fraction)
99
assign me_o = mb_o + bpp; // Set high order position for mask
100 19 robfinch
// num_strips is essentially a constant value unless the screen resolution changes.
101
// Gain performance here by regstering the multiply so that there aren't two
102
// cascaded multiplies when calculating the offset.
103
reg [27:0] num_strips65k;
104
always @(posedge clk)
105
        num_strips65k <= hdisplayed_i * coeff;
106
wire [13:0] num_strips = num_strips65k[27:16];
107
 
108
wire [31:0] offset = {{4'b0,num_strips} * y_coord_i + strip_num,4'h0};
109
assign address_o = base_address_i + offset;
110
 
111
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.