OpenCores
URL https://opencores.org/ocsvn/rtfbitmapcontroller/rtfbitmapcontroller/trunk

Subversion Repositories rtfbitmapcontroller

[/] [rtfbitmapcontroller/] [trunk/] [rtl/] [verilog/] [gfx_CalcAddress5.v] - Blame information for rev 21

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 21 robfinch
// ============================================================================
2
//        __
3
//   \\__/ o\    (C) 2015-2017  Robert Finch, Waterloo
4
//    \  __ /    All rights reserved.
5
//     \/_//     robfinch<remove>@finitron.ca
6
//       ||
7
//
8
//
9
// This source file is free software: you can redistribute it and/or modify 
10
// it under the terms of the GNU Lesser General Public License as published 
11
// by the Free Software Foundation, either version 3 of the License, or     
12
// (at your option) any later version.                                      
13
//                                                                          
14
// This source file is distributed in the hope that it will be useful,      
15
// but WITHOUT ANY WARRANTY; without even the implied warranty of           
16
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the            
17
// GNU General Public License for more details.                             
18
//                                                                          
19
// You should have received a copy of the GNU General Public License        
20
// along with this program.  If not, see <http://www.gnu.org/licenses/>.    
21
//                                                                          
22
//      Verilog 1995
23
//
24
// ============================================================================
25
//
26
// Compute the graphics address
27
//
28
module gfx_CalcAddress5(clk, base_address_i, color_depth_i, hdisplayed_i, x_coord_i, y_coord_i,
29
        address_o, mb_o, me_o);
30
input clk;
31
input [31:0] base_address_i;
32
input [3:0] color_depth_i;
33
input [11:0] hdisplayed_i;       // pixel per line
34
input [11:0] x_coord_i;
35
input [11:0] y_coord_i;
36
output [31:0] address_o;
37
output [6:0] mb_o;
38
output [6:0] me_o;
39
 
40
parameter BPP6 = 3'd0;
41
parameter BPP8 = 3'd1;
42
parameter BPP12 = 3'd2;
43
parameter BPP16 = 3'd3;
44
parameter BPP24 = 3'd4;
45
parameter BPP32 = 3'd5;
46
 
47
// This coefficient is a fixed point fraction representing the inverse of the
48
// number of pixels per strip. The inverse (reciprocal) is used for a high
49
// speed divide operation.
50
reg [15:0] coeff;
51
always @(color_depth_i)
52
case(color_depth_i)
53
BPP6: coeff = 3121; // 1/21 * 65536
54
BPP8:   coeff = 4096;   // 1/16 * 65536
55
BPP12:  coeff = 6554;   // 1/10 * 65536
56
BPP16:  coeff = 8192;   // 1/8 * 65536
57
BPP24:  coeff = 13107;  // 1/5 * 65536
58
BPP32:  coeff = 16384;  // 1/4 * 65536
59
endcase
60
 
61
// Bits per pixel minus one.
62
reg [5:0] bpp;
63
always @(color_depth_i)
64
case(color_depth_i)
65
BPP6: bpp = 5;
66
BPP8:   bpp = 7;
67
BPP12:  bpp = 11;
68
BPP16:  bpp = 15;
69
BPP24:  bpp = 24;
70
BPP32:  bpp = 31;
71
endcase
72
 
73
// This coefficient is the number of bits used by all pixels in the strip. 
74
// Used to determine pixel placement in the strip.
75
reg [7:0] coeff2;
76
always @(color_depth_i)
77
case(color_depth_i)
78
BPP6: coeff2 = 126;
79
BPP8:   coeff2 = 128;
80
BPP12:  coeff2 = 120;
81
BPP16:  coeff2 = 128;
82
BPP24:  coeff2 = 125;
83
BPP32:  coeff2 = 128;
84
endcase
85
 
86
// Compute the fixed point horizonal strip number value. This has 16 binary
87
// point places.
88
wire [27:0] strip_num65k = x_coord_i * coeff;
89
// Truncate off the binary fraction to get the strip number. The strip
90
// number will be used to form part of the address.
91
wire [13:0] strip_num = strip_num65k[27:16];
92
// Calculate pixel position within strip using the fractional part of the
93
// horizontal strip number.
94
wire [15:0] strip_fract = strip_num65k[15:0]+16'h7F;  // +7F to round
95
// Pixel beginning bit is ratio of pixel # into all bits used by pixels
96
wire [15:0] ndx = strip_fract[15:7] * coeff2;
97
assign mb_o = ndx[15:9];  // Get whole pixel position (discard fraction)
98
assign me_o = mb_o + bpp; // Set high order position for mask
99
// num_strips is essentially a constant value unless the screen resolution changes.
100
// Gain performance here by regstering the multiply so that there aren't two
101
// cascaded multiplies when calculating the offset.
102
reg [27:0] num_strips65k;
103
always @(posedge clk)
104
        num_strips65k <= hdisplayed_i * coeff;
105
wire [11:0] num_strips = num_strips65k[27:16];
106
 
107
wire [31:0] offset = {(({4'b0,num_strips} * y_coord_i) + strip_num),4'h0};
108
assign address_o = base_address_i + offset;
109
 
110
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.