OpenCores
URL https://opencores.org/ocsvn/scarts/scarts/trunk

Subversion Repositories scarts

[/] [scarts/] [trunk/] [toolchain/] [scarts-gdb/] [gdb-6.8/] [sim/] [testsuite/] [sim/] [sh64/] [compact/] [fneg.cgs] - Blame information for rev 26

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 26 jlechner
# sh testcase for fneg -*- Asm -*-
2
# mach: all
3
# as: -isa=shcompact
4
# ld: -m shelf32
5
 
6
        .include "compact/testutils.inc"
7
 
8
        start
9
 
10
        # neg(0.0) = 0.0.
11
        fldi0 fr0
12
        fldi0 fr1
13
        fneg fr0
14
        fcmp/eq fr0, fr1
15
        bf wrong
16
 
17
        # neg(1.0) = fsub(0,1)
18
        fldi1 fr0
19
        fneg fr0
20
        fldi0 fr1
21
        fldi1 fr2
22
        fsub fr2, fr1
23
        fcmp/eq fr0, fr1
24
        bf wrong
25
 
26
        # neg(neg(1.0)) = 1.0.
27
        fldi1 fr0
28
        fldi1 fr1
29
        fneg fr0
30
        fneg fr0
31
        fcmp/eq fr0, fr1
32
        bf wrong
33
 
34
        bra double
35
        nop
36
 
37
wrong:
38
        fail
39
 
40
double:
41
        # neg(0.0) = 0.0.
42
        fldi0 fr0
43
        fldi0 fr2
44
        _s2d fr0, dr0
45
        _s2d fr2, dr2
46
        _setpr
47
        fneg dr0
48
        fcmp/eq dr0, dr2
49
        bf wrong2
50
        _clrpr
51
 
52
        # neg(1.0) = fsub(0,1)
53
        fldi1 fr0
54
        _s2d fr0, dr0
55
        _setpr
56
        fneg dr0
57
        _clrpr
58
        fldi0 fr2
59
        fldi1 fr3
60
        fsub fr3, fr2
61
        _s2d fr2, dr2
62
        _setpr
63
        fcmp/eq fr0, fr2
64
        bf wrong2
65
        _clrpr
66
 
67
        # neg(neg(1.0)) = 1.0.
68
        fldi1 fr0
69
        _s2d fr0, dr0
70
        fldi1 fr2
71
        _s2d fr2, dr2
72
        _setpr
73
        fneg dr0
74
        fneg dr2
75
        fcmp/eq dr0, dr2
76
        bf wrong2
77
        _clrpr
78
 
79
okay:
80
        pass
81
 
82
wrong2:
83
        fail

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.