OpenCores
URL https://opencores.org/ocsvn/sd_card_controller/sd_card_controller/trunk

Subversion Repositories sd_card_controller

[/] [sd_card_controller/] [trunk/] [bench/] [verilog/] [sd_controller_wb_tb.sv] - Blame information for rev 8

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 rozpruwacz
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
//// WISHBONE SD Card Controller IP Core                          ////
4
////                                                              ////
5
//// sd_controller_wb_tb.sv                                       ////
6
////                                                              ////
7
//// This file is part of the WISHBONE SD Card                    ////
8
//// Controller IP Core project                                   ////
9 8 rozpruwacz
//// http://opencores.org/project,sd_card_controller              ////
10 3 rozpruwacz
////                                                              ////
11
//// Description                                                  ////
12
//// testbench for sd_controller_wb module                        ////
13
////                                                              ////
14
//// Author(s):                                                   ////
15
////     - Marek Czerski, ma.czerski@gmail.com                    ////
16
////                                                              ////
17
//////////////////////////////////////////////////////////////////////
18
////                                                              ////
19
//// Copyright (C) 2013 Authors                                   ////
20
////                                                              ////
21
//// This source file may be used and distributed without         ////
22
//// restriction provided that this copyright statement is not    ////
23
//// removed from the file and that any derivative work contains  ////
24
//// the original copyright notice and the associated disclaimer. ////
25
////                                                              ////
26
//// This source file is free software; you can redistribute it   ////
27
//// and/or modify it under the terms of the GNU Lesser General   ////
28
//// Public License as published by the Free Software Foundation; ////
29
//// either version 2.1 of the License, or (at your option) any   ////
30
//// later version.                                               ////
31
////                                                              ////
32
//// This source is distributed in the hope that it will be       ////
33
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
34
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
35
//// PURPOSE. See the GNU Lesser General Public License for more  ////
36
//// details.                                                     ////
37
////                                                              ////
38
//// You should have received a copy of the GNU Lesser General    ////
39
//// Public License along with this source; if not, download it   ////
40
//// from http://www.opencores.org/lgpl.shtml                     ////
41
////                                                              ////
42
//////////////////////////////////////////////////////////////////////
43
 
44
`include "sd_defines.h"
45
 
46
module sd_controller_wb_tb();
47
 
48
parameter TCLK = 20; // 50 MHz -> timescale 1ns
49
 
50
reg wb_clk_i;
51
reg wb_rst_i;
52
reg [31:0] wb_dat_i;
53
wire [31:0] wb_dat_o;
54
reg [7:0] wb_adr_i;
55
reg [3:0] wb_sel_i;
56
reg wb_we_i;
57
reg wb_cyc_i;
58
reg wb_stb_i;
59
wire wb_ack_o;
60
wire cmd_start;
61
wire [31:0] argument_reg;
62
wire [`CMD_REG_SIZE-1:0] command_reg;
63
reg [31:0] response_0_reg;
64
reg [31:0] response_1_reg;
65
reg [31:0] response_2_reg;
66
reg [31:0] response_3_reg;
67
wire [0:0] software_reset_reg;
68
wire [15:0] timeout_reg;
69
wire [`BLKSIZE_W-1:0] block_size_reg;
70
wire [15:0] controll_setting_reg;
71
reg [`INT_CMD_SIZE-1:0] cmd_int_status_reg;
72
wire [`INT_CMD_SIZE-1:0] cmd_int_enable_reg;
73
wire [7:0] clock_divider_reg;
74
reg [`INT_DATA_SIZE-1:0] data_int_status_reg;
75
wire [`INT_DATA_SIZE-1:0] data_int_enable_reg;
76
wire data_int_rst;
77
wire cmd_int_rst;
78
wire [`BLKCNT_W-1:0]block_count_reg;
79
wire [31:0] dma_addr_reg;
80
 
81
sd_controller_wb sd_controller_wb_dut(
82
           wb_clk_i,
83
           wb_rst_i,
84
           wb_dat_i,
85
           wb_dat_o,
86
           wb_adr_i,
87
           wb_sel_i,
88
           wb_we_i,
89
           wb_cyc_i,
90
           wb_stb_i,
91
           wb_ack_o,
92
           cmd_start,
93
           data_int_rst,
94
           cmd_int_rst,
95
           argument_reg,
96
           command_reg,
97
           response_0_reg,
98
           response_1_reg,
99
           response_2_reg,
100
           response_3_reg,
101
           software_reset_reg,
102
           timeout_reg,
103
           block_size_reg,
104
           controll_setting_reg,
105
           cmd_int_status_reg,
106
           cmd_int_enable_reg,
107
           clock_divider_reg,
108
           block_count_reg,
109
           dma_addr_reg,
110
           data_int_status_reg,
111
           data_int_enable_reg
112
       );
113
 
114
// Generating wb_clk_i clock
115
always
116
begin
117
    wb_clk_i=0;
118
    forever #(TCLK/2) wb_clk_i = ~wb_clk_i;
119
end
120
 
121
task wb_write;
122
    input integer data;
123
    input integer addr;
124
    begin
125
        //wait for falling edge of wb_clk_i
126
        wait(wb_clk_i == 1);
127
        wait(wb_clk_i == 0);
128
 
129
        wb_dat_i = data;
130
        wb_adr_i = addr;
131
        wb_sel_i = 4'b1111;
132
        wb_we_i = 1;
133
        wb_cyc_i = 1;
134
        wb_stb_i = 1;
135
 
136
        wait(wb_ack_o == 1);
137
        wb_dat_i = 0;
138
        wb_adr_i = 0;
139
        wb_sel_i = 0;
140
        wb_we_i = 0;
141
        wb_cyc_i = 0;
142
        wb_stb_i = 0;
143
 
144
        #(1.5*TCLK);
145
        assert(wb_ack_o == 0);
146
        #TCLK;
147
    end
148
endtask
149
 
150
task wb_read_check;
151
    input integer data;
152
    input integer addr;
153
    begin
154
        //wait for falling edge of wb_clk_i
155
        wait(wb_clk_i == 1);
156
        wait(wb_clk_i == 0);
157
 
158
        wb_adr_i = addr;
159
        wb_sel_i = 4'b1111;
160
        wb_we_i = 0;
161
        wb_cyc_i = 1;
162
        wb_stb_i = 1;
163
 
164
        wait(wb_ack_o == 1);
165
        wb_dat_i = 0;
166
        wb_adr_i = 0;
167
        wb_sel_i = 0;
168
        wb_we_i = 0;
169
        wb_cyc_i = 0;
170
        wb_stb_i = 0;
171
        assert(wb_dat_o == data);
172
 
173
        #(1.5*TCLK);
174
        assert(wb_ack_o == 0);
175
        #TCLK;
176
    end
177
endtask
178
 
179
initial
180
begin
181
    wb_rst_i = 1;
182
    wb_dat_i = 0;
183
    wb_adr_i = 0;
184
    wb_sel_i = 0;
185
    wb_we_i = 0;
186
    wb_cyc_i = 0;
187
    wb_stb_i = 0;
188
    response_0_reg = 0;
189
    response_1_reg = 0;
190
    response_2_reg = 0;
191
    response_3_reg = 0;
192
    cmd_int_status_reg = 0;
193
    data_int_status_reg = 0;
194
 
195
    #(3.2*TCLK);
196
    wb_rst_i = 0;
197
    #TCLK;
198
 
199
    $display("sd_controller_wb_tb start ...");
200
 
201
    assert(wb_dat_o == 0);
202
    assert(wb_ack_o == 0);
203
    assert(cmd_start == 0);
204
    assert(argument_reg == 0);
205
    assert(command_reg == 0);
206
    assert(software_reset_reg == 0);
207
    assert(timeout_reg == 0);
208
    assert(block_size_reg == `RESET_BLOCK_SIZE);
209
    assert(controll_setting_reg == 0);
210
    assert(cmd_int_status_reg == 0);
211
    assert(cmd_int_enable_reg == 0);
212
    assert(clock_divider_reg == `RESET_CLK_DIV);
213
    assert(data_int_enable_reg == 0);
214
    assert(data_int_rst == 0);
215
    assert(cmd_int_rst == 0);
216
    assert(block_count_reg == 0);
217
    assert(dma_addr_reg == 0);
218
 
219
    //check argument register and cmd_start signal
220
    fork
221
        begin
222
            wb_write(32'h01020304, `argument);
223
            assert(argument_reg == 32'h01020304);
224
        end
225
        begin
226
            wait(cmd_start == 1);
227
            #(1.1*TCLK);
228
            assert(cmd_start == 0);
229
        end
230
    join
231
 
232
    //check command register
233
    wb_write(16'h0405, `command);
234
    assert(command_reg == 16'h0405);
235
 
236
    //check response_0 register
237
    response_0_reg = 32'h04050607;
238
    wb_read_check(32'h04050607, `resp0);
239
 
240
    //check response_1 register
241
    response_1_reg = 32'h05060708;
242
    wb_read_check(32'h05060708, `resp1);
243
 
244
    //check response_2 register
245
    response_2_reg = 32'h06070809;
246
    wb_read_check(32'h06070809, `resp2);
247
 
248
    //check response_3 register
249
    response_3_reg = 32'h0708090a;
250
    wb_read_check(32'h0708090a, `resp3);
251
 
252
    //check controller register
253
    wb_write(16'h0a0b, `controller);
254
    assert(controll_setting_reg == 16'h0a0b);
255
 
256
    //check timeout register
257
    wb_write(16'h0b0c, `timeout);
258
    assert(timeout_reg == 16'h0b0c);
259
 
260
    //check clock_devider register
261
    wb_write(8'h0d, `clock_d);
262
    assert(clock_divider_reg == 8'h0d);
263
 
264
    //check reset register
265
    wb_write(1'h1, `reset);
266
    assert(software_reset_reg == 1'h1);
267
 
268
    //check voltage register
269
    wb_read_check(8'b0000_111_1, `voltage);
270
 
271
    //check capability register
272
    wb_read_check(16'h0000, `capa);
273
 
274
    //check cmd_isr register write
275
    fork
276
        begin
277
            wb_write(32'h0, `cmd_isr);
278
        end
279
        begin
280
            wait(cmd_int_rst == 1);
281
            #(1.1*TCLK);
282
            assert(cmd_int_rst == 0);
283
        end
284
    join
285
    //check cmd_isr register read
286
    cmd_int_status_reg = 5'h1a;
287
    wb_read_check(5'h1a, `cmd_isr);
288
 
289
    //check cmd_iser register
290
    wb_write(5'h15, `cmd_iser);
291
    assert(cmd_int_enable_reg == 5'h15);
292
 
293
    //check data_isr register write
294
    fork
295
        begin
296
            wb_write(32'h0, `data_isr);
297
        end
298
        begin
299
            wait(data_int_rst == 1);
300
            #(1.1*TCLK);
301
            assert(data_int_rst == 0);
302
        end
303
    join
304
    //check data_isr register read
305
    data_int_status_reg = 3'h6;
306
    wb_read_check(3'h6, `data_isr);
307
 
308
    //check data_iser register
309
    wb_write(3'h5, `data_iser);
310
    assert(data_int_enable_reg == 3'h5);
311
 
312
    //check blksize register
313
    wb_write(12'habc, `blksize);
314
    assert(block_size_reg == 12'habc);
315
 
316
    //check blkcnt register
317
    wb_write(16'h1011, `blkcnt);
318
    assert(block_count_reg == 16'h1011);
319
 
320
    //check dst_src_addr register
321
    wb_write(32'h11121314, `dst_src_addr);
322
    assert(dma_addr_reg == 32'h11121314);
323
 
324
    #(10*TCLK) $display("sd_controller_wb_tb finish ...");
325
    $finish;
326
 
327
end
328
 
329
endmodule
330
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.