OpenCores
URL https://opencores.org/ocsvn/sdhc-sc-core/sdhc-sc-core/trunk

Subversion Repositories sdhc-sc-core

[/] [sdhc-sc-core/] [trunk/] [grpComponents/] [unitIcs307Configurator/] [src/] [Ics307Configurator-e.vhdl] - Blame information for rev 185

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 79 rkastl
-------------------------------------------------------------------------------
2
-- Title      : Programmer for ICS307
3
-- Project    : General IP
4
-------------------------------------------------------------------------------
5
-- Author     : Copyright 2006: Markus Pfaff, Linz
6
-- Standard   : Using VHDL'93
7
-------------------------------------------------------------------------------
8
-- Description: Configures an ICS Serially Programmable Clock
9
-- Synthesizer immediately after FPGA configuration.
10
-------------------------------------------------------------------------------
11
 
12
library ieee;
13
use ieee.std_logic_1164.all;
14
use ieee.numeric_std.all;
15
 
16
use work.global.all;
17
 
18
entity Ics307Configurator is
19
  generic(
20
    -- Default settings for 25 MHz input clk and 48 MHz on clk1
21
    -- Parameters in the order implied by the block diagramm on title
22
    -- page of data sheet. The data word given by online calculator
23
    -- (see data sheet page 3) is "001001000000100000000011". This
24
    -- data word contains the following parameters:
25
    -- Set for lowest crystal load capacitance,
26
    gCrystalLoadCapacitance_C   : std_ulogic_vector(1 downto 0) := "00";
27
    -- divide by (3+2),
28
    gReferenceDivider_RDW       : std_ulogic_vector(6 downto 0) := "0000011";
29
    -- multiply by (16+8),
30
    gVcoDividerWord_VDW         : std_ulogic_vector(8 downto 0) := "000010000";
31
    -- divide by 5,
32
    gOutputDivide_S             : std_ulogic_vector(2 downto 0) := "100";
33
    -- set source of Clk2 to REF clk (i.e. input clk),
34
    gClkFunctionSelect_R        : std_ulogic_vector(1 downto 0) := "00";
35
    -- CMOS voltage levels for 3.3V.
36
    gOutputDutyCycleVoltage_TTL : std_ulogic                    := '1'
37
    );
38
 
39
  port(
40
    iClk         : in std_ulogic;
41
    inResetAsync : in std_ulogic;
42
 
43
    -- 3 wire SPI interface for configuration
44
    oSclk   : out std_ulogic;
45
    oData   : out std_ulogic;
46
    oStrobe : out std_ulogic
47
    );
48
end entity Ics307Configurator;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.