OpenCores
URL https://opencores.org/ocsvn/sdhc-sc-core/sdhc-sc-core/trunk

Subversion Repositories sdhc-sc-core

[/] [sdhc-sc-core/] [trunk/] [grpComponents/] [unitIcs307Configurator/] [src/] [tbIcs307Configurator-e.vhdl] - Blame information for rev 185

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 79 rkastl
-------------------------------------------------------------------------------
2
-- Project: FH-Hagenberg/HSSE: Sandbox X general use IP
3
-- Author: Copyright 2006 by Markus Pfaff, Linz/Austria/Europe
4
-------------------------------------------------------------------------------
5
-- $LastChangedDate: 2007-01-09 08:40:02 +0100 (Di, 09 Jän 2007) $
6
-- $LastChangedRevision: 415 $
7
-- $LastChangedBy: pfaff $
8
-- $HeadURL: file:///C:/pfaff/rpySvn/rpySvnSet5/trunk/Uebung/W06Jg04/Uebung03/unitIcs307Configurator/src/tbIcs307Configurator-e.vhd $
9
-- LoginNames: pfaff - Markus Pfaff, Linz/Austria/Europe
10
-------------------------------------------------------------------------------
11
-- Description: 
12
-------------------------------------------------------------------------------
13
 
14
library ieee;
15
use ieee.std_logic_1164.all;
16
use work.Global.all;
17
 
18
entity tbIcs307Configurator is
19
 
20
end entity tbIcs307Configurator;
21
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.