OpenCores
URL https://opencores.org/ocsvn/sdhc-sc-core/sdhc-sc-core/trunk

Subversion Repositories sdhc-sc-core

[/] [sdhc-sc-core/] [trunk/] [grpCrc/] [unitCrc/] [sim/] [modelsim.ini] - Blame information for rev 185

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 10 rkastl
; Copyright 1991-2009 Mentor Graphics Corporation
2
;
3
; All Rights Reserved.
4
;
5
; THIS WORK CONTAINS TRADE SECRET AND PROPRIETARY INFORMATION WHICH IS THE PROPERTY OF
6
; MENTOR GRAPHICS CORPORATION OR ITS LICENSORS AND IS SUBJECT TO LICENSE TERMS.
7
;
8
 
9
[Library]
10
others = $MODEL_TECH/../modelsim.ini
11
;vhdl_psl_checkers = $MODEL_TECH/../vhdl_psl_checkers       // Source files only for this release
12
;verilog_psl_checkers = $MODEL_TECH/../verilog_psl_checkers // Source files only for this release
13
;mvc_lib = $MODEL_TECH/../mvc_lib
14
 
15
work = work
16
[vcom]
17
; VHDL93 variable selects language version as the default.
18
; Default is VHDL-2002.
19
; Value of 0 or 1987 for VHDL-1987.
20
; Value of 1 or 1993 for VHDL-1993.
21
; Default or value of 2 or 2002 for VHDL-2002.
22
; Value of 3 or 2008 for VHDL-2008
23
VHDL93 = 2002
24
 
25
; Show source line containing error. Default is off.
26
; Show_source = 1
27
 
28
; Turn off unbound-component warnings. Default is on.
29
; Show_Warning1 = 0
30
 
31
; Turn off process-without-a-wait-statement warnings. Default is on.
32
; Show_Warning2 = 0
33
 
34
; Turn off null-range warnings. Default is on.
35
; Show_Warning3 = 0
36
 
37
; Turn off no-space-in-time-literal warnings. Default is on.
38
; Show_Warning4 = 0
39
 
40
; Turn off multiple-drivers-on-unresolved-signal warnings. Default is on.
41
; Show_Warning5 = 0
42
 
43
; Turn off optimization for IEEE std_logic_1164 package. Default is on.
44
; Optimize_1164 = 0
45
 
46
; Turn on resolving of ambiguous function overloading in favor of the
47
; "explicit" function declaration (not the one automatically created by
48
; the compiler for each type declaration). Default is off.
49
; The .ini file has Explicit enabled so that std_logic_signed/unsigned
50
; will match the behavior of synthesis tools.
51
Explicit = 1
52
 
53
; Turn off acceleration of the VITAL packages. Default is to accelerate.
54
; NoVital = 1
55
 
56
; Turn off VITAL compliance checking. Default is checking on.
57
; NoVitalCheck = 1
58
 
59
; Ignore VITAL compliance checking errors. Default is to not ignore.
60
; IgnoreVitalErrors = 1
61
 
62
; Turn off VITAL compliance checking warnings. Default is to show warnings.
63
; Show_VitalChecksWarnings = 0
64
 
65
; Turn off PSL assertion warning messages. Default is to show warnings.
66
; Show_PslChecksWarnings = 0
67
 
68
; Enable parsing of embedded PSL assertions. Default is enabled.
69
; EmbeddedPsl = 0
70
 
71
; Keep silent about case statement static warnings.
72
; Default is to give a warning.
73
; NoCaseStaticError = 1
74
 
75
; Keep silent about warnings caused by aggregates that are not locally static.
76
; Default is to give a warning.
77
; NoOthersStaticError = 1
78
 
79
; Treat as errors:
80
;   case statement static warnings
81
;   warnings caused by aggregates that are not locally static
82
; Overrides NoCaseStaticError, NoOthersStaticError settings.
83
; PedanticErrors = 1
84
 
85
; Turn off inclusion of debugging info within design units.
86
; Default is to include debugging info.
87
; NoDebug = 1
88
 
89
; Turn off "Loading..." messages. Default is messages on.
90
; Quiet = 1
91
 
92
; Turn on some limited synthesis rule compliance checking. Checks only:
93
;    -- signals used (read) by a process must be in the sensitivity list
94
; CheckSynthesis = 1
95
 
96
; Activate optimizations on expressions that do not involve signals,
97
; waits, or function/procedure/task invocations. Default is off.
98
; ScalarOpts = 1
99
 
100
; Turns on lint-style checking.
101
; Show_Lint = 1
102
 
103
; Require the user to specify a configuration for all bindings,
104
; and do not generate a compile time default binding for the
105
; component. This will result in an elaboration error of
106
; 'component not bound' if the user fails to do so. Avoids the rare
107
; issue of a false dependency upon the unused default binding.
108
; RequireConfigForAllDefaultBinding = 1
109
 
110
; Perform default binding at compile time.
111
; Default is to do default binding at load time.
112
; BindAtCompile = 1;
113
 
114
; Inhibit range checking on subscripts of arrays. Range checking on
115
; scalars defined with subtypes is inhibited by default.
116
; NoIndexCheck = 1
117
 
118
; Inhibit range checks on all (implicit and explicit) assignments to
119
; scalar objects defined with subtypes.
120
; NoRangeCheck = 1
121
 
122
; Run the 0-in compiler on the VHDL source files
123
; Default is off.
124
; ZeroIn = 1
125
 
126
; Set the options to be passed to the 0-in compiler.
127
; Default is "".
128
; ZeroInOptions = ""
129
 
130
; Turn on code coverage in VHDL design units. Default is off.
131
; Coverage = sbceft
132
 
133
; Turn off code coverage in VHDL subprograms. Default is on.
134
; CoverageSub = 0
135
 
136
; Automatically exclude VHDL case statement default branches.
137
; Default is to not exclude.
138
; CoverExcludeDefault = 1
139
 
140
; Control compiler and VOPT optimizations that are allowed when
141
; code coverage is on.  Refer to the comment for this in the [vlog] area.
142
; CoverOpt = 3
143
 
144
; Inform code coverage optimizations to respect VHDL 'H' and 'L'
145
; values on signals in conditions and expressions, and to not automatically
146
; convert them to '1' and '0'. Default is to not convert.
147
; CoverRespectHandL = 0
148
 
149
; Increase or decrease the maximum number of rows allowed in a UDP table
150
; implementing a VHDL condition coverage or expression coverage expression.
151
; More rows leads to a longer compile time, but more expressions covered.
152
; CoverMaxUDPRows = 192
153
 
154
; Increase or decrease the maximum number of input patterns that are present
155
; in FEC table. This leads to a longer compile time with more expressions
156
; covered with FEC metric.
157
; CoverMaxFECRows = 192
158
 
159
; Enable or disable Focused Expression Coverage analysis for conditions and
160
; expressions. Focused Expression Coverage data is provided by default when
161
; expression and/or condition coverage is active.
162
; CoverFEC = 0
163
 
164
; Enable or disable short circuit evaluation of conditions and expressions when
165
; condition or expression coverage is active. Short circuit evaluation is enabled
166
; by default.
167
; CoverShortCircuit = 0
168
 
169
; Use this directory for compiler temporary files instead of "work/_temp"
170
; CompilerTempDir = /tmp
171
 
172
; Add VHDL-AMS declarations to package STANDARD
173
; Default is not to add
174
; AmsStandard = 1
175
 
176
; Range and length checking will be performed on array indices and discrete
177
; ranges, and when violations are found within subprograms, errors will be
178
; reported. Default is to issue warnings for violations, because subprograms
179
; may not be invoked.
180
; NoDeferSubpgmCheck = 0
181
 
182
; Turn off detection of FSMs having single bit current state variable.
183
; FsmSingle = 0
184
 
185
; Turn off reset state transitions in FSM.
186
; FsmResetTrans = 0
187
 
188
[vlog]
189
; Turn off inclusion of debugging info within design units.
190
; Default is to include debugging info.
191
; NoDebug = 1
192
 
193
; Turn on `protect compiler directive processing.
194
; Default is to ignore `protect directives.
195
; Protect = 1
196
 
197
; Turn off "Loading..." messages. Default is messages on.
198
; Quiet = 1
199
 
200
; Turn on Verilog hazard checking (order-dependent accessing of global vars).
201
; Default is off.
202
; Hazard = 1
203
 
204
; Turn on converting regular Verilog identifiers to uppercase. Allows case
205
; insensitivity for module names. Default is no conversion.
206
; UpCase = 1
207
 
208
; Activate optimizations on expressions that do not involve signals,
209
; waits, or function/procedure/task invocations. Default is off.
210
; ScalarOpts = 1
211
 
212
; Turns on lint-style checking.
213
; Show_Lint = 1
214
 
215
; Show source line containing error. Default is off.
216
; Show_source = 1
217
 
218
; Turn on bad option warning. Default is off.
219
; Show_BadOptionWarning = 1
220
 
221
; Revert back to IEEE 1364-1995 syntax, default is 0 (off).
222
; vlog95compat = 1
223
 
224
; Turn off PSL warning messages. Default is to show warnings.
225
; Show_PslChecksWarnings = 0
226
 
227
; Enable parsing of embedded PSL assertions. Default is enabled.
228
; EmbeddedPsl = 0
229
 
230
; Set the threshold for automatically identifying sparse Verilog memories.
231
; A memory with depth equal to or more than the sparse memory threshold gets
232
; marked as sparse automatically, unless specified otherwise in source code
233
; or by +nosparse commandline option of vlog or vopt.
234
; The default is 1M.  (i.e. memories with depth equal
235
; to or greater than 1M are marked as sparse)
236
; SparseMemThreshold = 1048576
237
 
238
; Set the maximum number of iterations permitted for a generate loop.
239
; Restricting this permits the implementation to recognize infinite
240
; generate loops.
241
; GenerateLoopIterationMax = 100000
242
 
243
; Set the maximum depth permitted for a recursive generate instantiation.
244
; Restricting this permits the implementation to recognize infinite
245
; recursions.
246
; GenerateRecursionDepthMax = 200
247
 
248
; Run the 0-in compiler on the Verilog source files
249
; Default is off.
250
; ZeroIn = 1
251
 
252
; Set the options to be passed to the 0-in compiler.
253
; Default is "".
254
; ZeroInOptions = ""
255
 
256
; Set the option to treat all files specified in a vlog invocation as a
257
; single compilation unit. The default value is set to 0 which will treat
258
; each file as a separate compilation unit as specified in the P1800 draft standard.
259
; MultiFileCompilationUnit = 1
260
 
261
; Turn on code coverage in Verilog design units. Default is off.
262
; Coverage = sbceft
263
 
264
; Automatically exclude Verilog case statement default branches.
265
; Default is to not automatically exclude defaults.
266
; CoverExcludeDefault = 1
267
 
268
; Increase or decrease the maximum number of rows allowed in a UDP table
269
; implementing a Verilog condition coverage or expression coverage expression.
270
; More rows leads to a longer compile time, but more expressions covered.
271
; CoverMaxUDPRows = 192
272
 
273
; Increase or decrease the maximum number of input patterns that are present
274
; in FEC table. This leads to a longer compile time with more expressions
275
; covered with FEC metric.
276
; CoverMaxFECRows = 192
277
 
278
; Enable or disable Focused Expression Coverage analysis for conditions and
279
; expressions. Focused Expression Coverage data is provided by default when
280
; expression and/or condition coverage is active.
281
; CoverFEC = 0
282
 
283
; Enable or disable short circuit evaluation of conditions and expressions when
284
; condition or expression coverage is active. Short circuit evaluation is enabled
285
; by default.
286
; CoverShortCircuit = 0
287
 
288
 
289
; Turn on code coverage in VLOG `celldefine modules and modules included
290
; using vlog -v and -y. Default is off.
291
; CoverCells = 1
292
 
293
; Control compiler and VOPT optimizations that are allowed when
294
; code coverage is on. This is a number from 1 to 4, with the following
295
; meanings (the default is 3):
296
;    1 -- Turn off all optimizations that affect coverage reports.
297
;    2 -- Allow optimizations that allow large performance improvements
298
;         by invoking sequential processes only when the data changes.
299
;         This may make major reductions in coverage counts.
300
;    3 -- In addition, allow optimizations that may change expressions or
301
;         remove some statements. Allow constant propagation. Allow VHDL
302
;         subprogram inlining and VHDL FF recognition.
303
;    4 -- In addition, allow optimizations that may remove major regions of
304
;         code by changing assignments to built-ins or removing unused
305
;         signals. Change Verilog gates to continuous assignments.
306
; CoverOpt = 3
307
 
308
; Specify the override for the default value of "cross_num_print_missing"
309
; option for the Cross in Covergroups. If not specified then LRM default
310
; value of 0 (zero) is used. This is a compile time option.
311
; SVCrossNumPrintMissingDefault = 0
312
 
313
; Setting following to 1 would cause creation of variables which
314
; would represent the value of Coverpoint expressions. This is used
315
; in conjunction with "SVCoverpointExprVariablePrefix" option
316
; in the modelsim.ini
317
; EnableSVCoverpointExprVariable = 0
318
 
319
; Specify the override for the prefix used in forming the variable names
320
; which represent the Coverpoint expressions. This is used in conjunction with
321
; "EnableSVCoverpointExprVariable" option of the modelsim.ini
322
; The default prefix is "expr".
323
; The variable name is
324
;    variable name => _
325
; SVCoverpointExprVariablePrefix = expr
326
 
327
; Override for the default value of the SystemVerilog covergroup,
328
; coverpoint, and cross option.goal (defined to be 100 in the LRM).
329
; NOTE: It does not override specific assignments in SystemVerilog
330
; source code. NOTE: The modelsim.ini variable "SVCovergroupGoal"
331
; in the [vsim] section can override this value.
332
; SVCovergroupGoalDefault = 100
333
 
334
; Override for the default value of the SystemVerilog covergroup,
335
; coverpoint, and cross type_option.goal (defined to be 100 in the LRM)
336
; NOTE: It does not override specific assignments in SystemVerilog
337
; source code. NOTE: The modelsim.ini variable "SVCovergroupTypeGoal"
338
; in the [vsim] section can override this value.
339
; SVCovergroupTypeGoalDefault = 100
340
 
341
; Specify the override for the default value of "strobe" option for the
342
; Covergroup Type. This is a compile time option which forces "strobe" to
343
; a user specified default value and supersedes SystemVerilog specified
344
; default value of '0'(zero). NOTE: This can be overriden by a runtime
345
; modelsim.ini variable "SVCovergroupStrobe" in the [vsim] section.
346
; SVCovergroupStrobeDefault = 0
347
 
348
; Specify the override for the default value of "merge_instances" option for
349
; the Covergroup Type. This is a compile time option which forces
350
; "merge_instances" to a user specified default value and supersedes
351
; SystemVerilog specified default value of '0'(zero).
352
; SVCovergroupMergeInstancesDefault = 0
353
 
354
; Specify the override for the default value of "per_instance" option for the
355
; Covergroup variables. This is a compile time option which forces "per_instance"
356
; to a user specified default value and supersedes SystemVerilog specified
357
; default value of '0'(zero).
358
; SVCovergroupPerInstanceDefault = 0
359
 
360
; Specify the override for the default value of "get_inst_coverage" option for the
361
; Covergroup variables. This is a compile time option which forces
362
; "get_inst_coverage" to a user specified default value and supersedes
363
; SystemVerilog specified default value of '0'(zero).
364
; SVCovergroupGetInstCoverageDefault = 0
365
 
366
;
367
; A space separated list of resource libraries that contain precompiled
368
; packages.  The behavior is identical to using the "-L" switch.
369
;
370
; LibrarySearchPath =  [ ...]
371
LibrarySearchPath = mtiAvm mtiOvm mtiUPF
372
 
373
; The behavior is identical to the "-mixedansiports" switch.  Default is off.
374
; MixedAnsiPorts = 1
375
 
376
; Enable SystemVerilog 3.1a $typeof() function. Default is off.
377
; EnableTypeOf = 1
378
 
379
; Only allow lower case pragmas. Default is disabled.
380
; AcceptLowerCasePragmaOnly = 1
381
 
382
; Set the maximum depth permitted for a recursive include file nesting.
383
; IncludeRecursionDepthMax = 5
384
 
385
; Turn off detection of FSMs having single bit current state variable.
386
; FsmSingle = 0
387
 
388
; Turn off reset state transitions in FSM.
389
; FsmResetTrans = 0
390
 
391
; Turn off detections of FSMs having x-assignment.
392
; FsmXAssign = 0
393
 
394
; List of file suffixes which will be read as SystemVerilog.  White space
395
; in extensions can be specified with a back-slash: "\ ".  Back-slashes
396
; can be specified with two consecutive back-slashes: "\\";
397
; SVFileExtensions = sv svp svh
398
 
399
; This setting is the same as the vlog -sv command line switch.
400
; Enables SystemVerilog features and keywords when true (1).
401
; When false (0), the rules of IEEE Std 1364-2001 are followed and
402
; SystemVerilog keywords are ignored.
403
; Svlog = 0
404
 
405
; Prints attribute placed upon SV packages during package import
406
; when true (1).  The attribute will be ignored when this
407
; entry is false (0). The attribute name is "package_load_message".
408
; The value of this attribute is a string literal.
409
; Default is true (1).
410
; PrintSVPackageLoadingAttribute = 1
411
 
412
[sccom]
413
; Enable use of SCV include files and library.  Default is off.
414
; UseScv = 1
415
 
416
; Add C++ compiler options to the sccom command line by using this variable.
417
; CppOptions = -g
418
 
419
; Use custom C++ compiler located at this path rather than the default path.
420
; The path should point directly at a compiler executable.
421
; CppPath = /usr/bin/g++
422
 
423
; Enable verbose messages from sccom.  Default is off.
424
; SccomVerbose = 1
425
 
426
; sccom logfile.  Default is no logfile.
427
; SccomLogfile = sccom.log
428
 
429
; Enable use of SC_MS include files and library.  Default is off.
430
; UseScMs = 1
431
 
432
[vopt]
433
; Turn on code coverage in vopt.  Default is off.
434
; Coverage = sbceft
435
 
436
; Control compiler optimizations that are allowed when
437
; code coverage is on.  Refer to the comment for this in the [vlog] area.
438
; CoverOpt = 3
439
 
440
; Increase or decrease the maximum number of rows allowed in a UDP table
441
; implementing a vopt condition coverage or expression coverage expression.
442
; More rows leads to a longer compile time, but more expressions covered.
443
; CoverMaxUDPRows = 192
444
 
445
; Increase or decrease the maximum number of input patterns that are present
446
; in FEC table. This leads to a longer compile time with more expressions
447
; covered with FEC metric.
448
; CoverMaxFECRows = 192
449
 
450
[vsim]
451
; vopt flow
452
; Set to turn on automatic optimization of a design.
453
; Default is on
454
VoptFlow = 1
455
 
456
; vopt automatic SDF
457
; If automatic design optimization is on, enables automatic compilation
458
; of SDF files.
459
; Default is on, uncomment to turn off.
460
; VoptAutoSDFCompile = 0
461
 
462
; Automatic SDF compilation
463
; Disables automatic compilation of SDF files in flows that support it.
464
; Default is on, uncomment to turn off.
465
; NoAutoSDFCompile = 1
466
 
467
; Simulator resolution
468
; Set to fs, ps, ns, us, ms, or sec with optional prefix of 1, 10, or 100.
469
Resolution = ns
470
 
471
; Disable certain code coverage exclusions automatically.
472
; Assertions and FSM are exluded from the code coverage by default
473
; Set AutoExclusionsDisable = fsm to enable code coverage for fsm
474
; Set AutoExclusionsDisable = assertions to enable code coverage for assertions
475
; Set AutoExclusionsDisable = all to enable code coverage for all the automatic exclusions
476
; Or specify comma or space separated list
477
;AutoExclusionsDisable = fsm,assertions
478
 
479
; User time unit for run commands
480
; Set to default, fs, ps, ns, us, ms, or sec. The default is to use the
481
; unit specified for Resolution. For example, if Resolution is 100ps,
482
; then UserTimeUnit defaults to ps.
483
; Should generally be set to default.
484
UserTimeUnit = default
485
 
486
; Default run length
487
RunLength = 100
488
 
489
; Maximum iterations that can be run without advancing simulation time
490
IterationLimit = 5000
491
 
492
; Control PSL and Verilog Assume directives during simulation
493
; Set SimulateAssumeDirectives = 0 to disable assume being simulated as asserts
494
; Set SimulateAssumeDirectives = 1 to enable assume simulation as asserts
495
; SimulateAssumeDirectives = 1
496
 
497
; Control the simulation of PSL and SVA
498
; These switches can be overridden by the vsim command line switches:
499
;    -psl, -nopsl, -sva, -nosva.
500
; Set SimulatePSL = 0 to disable PSL simulation
501
; Set SimulatePSL = 1 to enable PSL simulation (default)
502
; SimulatePSL = 1
503
; Set SimulateSVA = 0 to disable SVA simulation
504
; Set SimulateSVA = 1 to enable concurrent SVA simulation (default)
505
; SimulateSVA = 1
506
 
507
; Directives to license manager can be set either as single value or as
508
; space separated multi-values:
509
; vhdl          Immediately reserve a VHDL license
510
; vlog          Immediately reserve a Verilog license
511
; plus          Immediately reserve a VHDL and Verilog license
512
; nomgc         Do not look for Mentor Graphics Licenses
513
; nomti         Do not look for Model Technology Licenses
514
; noqueue       Do not wait in the license queue when a license is not available
515
; viewsim       Try for viewer license but accept simulator license(s) instead
516
;               of queuing for viewer license (PE ONLY)
517
; noviewer      Disable checkout of msimviewer and vsim-viewer license
518
;               features (PE ONLY)
519
; noslvhdl      Disable checkout of qhsimvh and vsim license features
520
; noslvlog      Disable checkout of qhsimvl and vsimvlog license features
521
; nomix         Disable checkout of msimhdlmix and hdlmix license features
522
; nolnl         Disable checkout of msimhdlsim and hdlsim license features
523
; mixedonly     Disable checkout of qhsimvh,qhsimvl,vsim,vsimvlog license
524
;               features
525
; lnlonly       Disable checkout of qhsimvh,qhsimvl,vsim,vsimvlog,msimhdlmix,
526
;               hdlmix license features
527
; Single value:
528
; License = plus
529
; Multi-value:
530
; License = noqueue plus
531
 
532
; Stop the simulator after a VHDL/Verilog immediate assertion message
533
; 0 = Note  1 = Warning  2 = Error  3 = Failure  4 = Fatal
534
BreakOnAssertion = 2
535
 
536
; VHDL assertion Message Format
537
; %S - Severity Level
538
; %R - Report Message
539
; %T - Time of assertion
540
; %D - Delta
541
; %I - Instance or Region pathname (if available)
542
; %i - Instance pathname with process
543
; %O - Process name
544
; %K - Kind of object path is to return: Instance, Signal, Process or Unknown
545
; %P - Instance or Region path without leaf process
546
; %F - File
547
; %L - Line number of assertion or, if assertion is in a subprogram, line
548
;      from which the call is made
549
; %% - Print '%' character
550
; If specific format for assertion level is defined, use its format.
551
; If specific format is not defined for assertion level:
552
; - and if failure occurs during elaboration, use MessageFormatBreakLine;
553
; - and if assertion triggers a breakpoint (controlled by BreakOnAssertion
554
;   level), use MessageFormatBreak;
555
; - otherwise, use MessageFormat.
556
; MessageFormatBreakLine = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F Line: %L\n"
557
; MessageFormatBreak     = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F\n"
558
; MessageFormat          = "** %S: %R\n   Time: %T  Iteration: %D%I\n"
559
; MessageFormatNote      = "** %S: %R\n   Time: %T  Iteration: %D%I\n"
560
; MessageFormatWarning   = "** %S: %R\n   Time: %T  Iteration: %D%I\n"
561
; MessageFormatError     = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F\n"
562
; MessageFormatFail      = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F\n"
563
; MessageFormatFatal     = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F\n"
564
 
565
; Error File - alternate file for storing error messages
566
; ErrorFile = error.log
567
 
568
 
569
; Simulation Breakpoint messages
570
; This flag controls the display of function names when reporting the location
571
; where the simulator stops do to a breakpoint or fatal error.
572
; Example w/function name:  # Break in Process ctr at counter.vhd line 44
573
; Example wo/function name: # Break at counter.vhd line 44
574
ShowFunctions = 1
575
 
576
; Default radix for all windows and commands.
577
; Set to symbolic, ascii, binary, octal, decimal, hex, unsigned
578
DefaultRadix = symbolic
579
 
580
; VSIM Startup command
581
; Startup = do startup.do
582
 
583
; VSIM Shutdown file
584
; Filename to save u/i formats and configurations.
585
; ShutdownFile = restart.do
586
; To explicitly disable auto save:
587
; ShutdownFile = --disable-auto-save
588
 
589
; File for saving command transcript
590
TranscriptFile = transcript
591
 
592
; File for saving command history
593
; CommandHistory = cmdhist.log
594
 
595
; Specify whether paths in simulator commands should be described
596
; in VHDL or Verilog format.
597
; For VHDL, PathSeparator = /
598
; For Verilog, PathSeparator = .
599
; Must not be the same character as DatasetSeparator.
600
PathSeparator = /
601
 
602
; Specify the dataset separator for fully rooted contexts.
603
; The default is ':'. For example: sim:/top
604
; Must not be the same character as PathSeparator.
605
DatasetSeparator = :
606
 
607
; Specify a unique path separator for the Signal Spy set of functions.
608
; The default will be to use the PathSeparator variable.
609
; Must not be the same character as DatasetSeparator.
610
; SignalSpyPathSeparator = /
611
 
612
; Used to control parsing of HDL identifiers input to the tool.
613
; This includes CLI commands, vsim/vopt/vlog/vcom options,
614
; string arguments to FLI/VPI/DPI calls, etc.
615
; If set to 1, accept either Verilog escaped Id syntax or
616
; VHDL extended id syntax, regardless of source language.
617
; If set to 0, the syntax of the source language must be used.
618
; Each identifier in a hierarchical name may need different syntax,
619
; e.g. "/top/\vhdl*ext*id\/middle/\vlog*ext*id /bottom" or
620
;       "top.\vhdl*ext*id\.middle.\vlog*ext*id .bottom"
621
; GenerousIdentifierParsing = 1
622
 
623
; Disable VHDL assertion messages
624
; IgnoreNote = 1
625
; IgnoreWarning = 1
626
; IgnoreError = 1
627
; IgnoreFailure = 1
628
 
629
; Disable System Verilog assertion messages
630
; IgnoreSVAInfo = 1
631
; IgnoreSVAWarning = 1
632
; IgnoreSVAError = 1
633
; IgnoreSVAFatal = 1
634
 
635
; Do not print any additional information from Severity System tasks.
636
; Only the message provided by the user is printed along with severity
637
; information.
638
; SVAPrintOnlyUserMessage = 1;
639
 
640
; Default force kind. May be freeze, drive, deposit, or default
641
; or in other terms, fixed, wired, or charged.
642
; A value of "default" will use the signal kind to determine the
643
; force kind, drive for resolved signals, freeze for unresolved signals
644
; DefaultForceKind = freeze
645
 
646
; If zero, open files when elaborated; otherwise, open files on
647
; first read or write.  Default is 0.
648
; DelayFileOpen = 1
649
 
650
; Control VHDL files opened for write.
651
;   0 = Buffered, 1 = Unbuffered
652
UnbufferedOutput = 0
653
 
654
; Control the number of VHDL files open concurrently.
655
; This number should always be less than the current ulimit
656
; setting for max file descriptors.
657
;   0 = unlimited
658
ConcurrentFileLimit = 40
659
 
660
; Control the number of hierarchical regions displayed as
661
; part of a signal name shown in the Wave window.
662
; A value of zero tells VSIM to display the full name.
663
; The default is 0.
664
; WaveSignalNameWidth = 0
665
 
666
; Turn off warnings when changing VHDL constants and generics
667
; Default is 1 to generate warning messages
668
; WarnConstantChange = 0
669
 
670
; Turn off warnings from the std_logic_arith, std_logic_unsigned
671
; and std_logic_signed packages.
672
; StdArithNoWarnings = 1
673
 
674
; Turn off warnings from the IEEE numeric_std and numeric_bit packages.
675
; NumericStdNoWarnings = 1
676
 
677
; Control the format of the (VHDL) FOR generate statement label
678
; for each iteration.  Do not quote it.
679
; The format string here must contain the conversion codes %s and %d,
680
; in that order, and no other conversion codes.  The %s represents
681
; the generate_label; the %d represents the generate parameter value
682
; at a particular generate iteration (this is the position number if
683
; the generate parameter is of an enumeration type).  Embedded whitespace
684
; is allowed (but discouraged); leading and trailing whitespace is ignored.
685
; Application of the format must result in a unique scope name over all
686
; such names in the design so that name lookup can function properly.
687
; GenerateFormat = %s__%d
688
 
689
; Specify whether checkpoint files should be compressed.
690
; The default is 1 (compressed).
691
; CheckpointCompressMode = 0
692
 
693
; Specify whether to enable SystemVerilog DPI "out-of-the-blue" calls.
694
; The term "out-of-the-blue" refers to SystemVerilog export function calls
695
; made from C functions that don't have the proper context setup
696
; (as is the case when running under "DPI-C" import functions).
697
; When this is enabled, one can call a DPI export function
698
; (but not task) from any C code.
699
; The default is 0 (disabled).
700
; DpiOutOfTheBlue = 1
701
 
702
; Specify whether continuous assignments are run before other normal priority
703
; processes scheduled in the same iteration. This event ordering minimizes race
704
; differences between optimized and non-optimized designs, and is the default
705
; behavior beginning with the 6.5 release. For pre-6.5 event ordering, set
706
; ImmediateContinuousAssign to 0.
707
; The default is 1 (enabled).
708
; ImmediateContinuousAssign = 0
709
 
710
; List of dynamically loaded objects for Verilog PLI applications
711
; Veriuser = veriuser.sl
712
 
713
; Which default VPI object model should the tool conform to?
714
; The 1364 modes are Verilog-only, for backwards compatibility with older
715
; libraries, and SystemVerilog objects are not available in these modes.
716
;
717
; In the absence of a user-specified default, the tool default is the
718
; latest available LRM behavior.
719
; Options for PliCompatDefault are:
720
;  VPI_COMPATIBILITY_VERSION_1364v1995
721
;  VPI_COMPATIBILITY_VERSION_1364v2001
722
;  VPI_COMPATIBILITY_VERSION_1364v2005
723
;  VPI_COMPATIBILITY_VERSION_1800v2005
724
;  VPI_COMPATIBILITY_VERSION_1800v2008
725
;
726
; Synonyms for each string are also recognized:
727
;  VPI_COMPATIBILITY_VERSION_1364v1995 (1995, 95, 1364v1995, 1364V1995, VL1995)
728
;  VPI_COMPATIBILITY_VERSION_1364v2001 (2001, 01, 1364v2001, 1364V2001, VL2001)
729
;  VPI_COMPATIBILITY_VERSION_1364v2005 (1364v2005, 1364V2005, VL2005)
730
;  VPI_COMPATIBILITY_VERSION_1800v2005 (2005, 05, 1800v2005, 1800V2005, SV2005)
731
;  VPI_COMPATIBILITY_VERSION_1800v2008 (2008, 08, 1800v2008, 1800V2008, SV2008)
732
 
733
 
734
; PliCompatDefault = VPI_COMPATIBILITY_VERSION_1800v2005
735
 
736
; Specify default options for the restart command. Options can be one
737
; or more of: -force -nobreakpoint -nolist -nolog -nowave -noassertions
738
; DefaultRestartOptions = -force
739
 
740
; Turn on (1) or off (0) WLF file compression.
741
; The default is 1 (compress WLF file).
742
; WLFCompress = 0
743
 
744
; Specify whether to save all design hierarchy (1) in the WLF file
745
; or only regions containing logged signals (0).
746
; The default is 0 (save only regions with logged signals).
747
; WLFSaveAllRegions = 1
748
 
749
; WLF file time limit.  Limit WLF file by time, as closely as possible,
750
; to the specified amount of simulation time.  When the limit is exceeded
751
; the earliest times get truncated from the file.
752
; If both time and size limits are specified the most restrictive is used.
753
; UserTimeUnits are used if time units are not specified.
754
; The default is 0 (no limit).  Example: WLFTimeLimit = {100 ms}
755
; WLFTimeLimit = 0
756
 
757
; WLF file size limit.  Limit WLF file size, as closely as possible,
758
; to the specified number of megabytes.  If both time and size limits
759
; are specified then the most restrictive is used.
760
; The default is 0 (no limit).
761
; WLFSizeLimit = 1000
762
 
763
; Specify whether or not a WLF file should be deleted when the
764
; simulation ends.  A value of 1 will cause the WLF file to be deleted.
765
; The default is 0 (do not delete WLF file when simulation ends).
766
; WLFDeleteOnQuit = 1
767
 
768
; Specify whether or not a WLF file should be indexed during
769
; simulation.  If set to 0, the WLF file will not be indexed.
770
; The default is 1, indexed the WLF file.
771
; WLFIndex = 0
772
 
773
; Specify whether or not a WLF file should be optimized during
774
; simulation.  If set to 0, the WLF file will not be optimized.
775
; The default is 1, optimize the WLF file.
776
; WLFOptimize = 0
777
 
778
; Specify the name of the WLF file.
779
; The default is vsim.wlf
780
; WLFFilename = vsim.wlf
781
 
782
; Specify the WLF reader cache size limit for each open WLF file.
783
; The size is giving in megabytes.  A value of 0 turns off the
784
; WLF cache.
785
; WLFSimCacheSize allows a different cache size to be set for
786
; simulation WLF file independent of post-simulation WLF file
787
; viewing.  If WLFSimCacheSize is not set it defaults to the
788
; WLFCacheSize setting.
789
; The default WLFCacheSize setting is enabled to 256M per open WLF file.
790
; WLFCacheSize = 2000
791
; WLFSimCacheSize = 500
792
 
793
; Specify the WLF file event collapse mode.
794
; 0 = Preserve all events and event order. (same as -wlfnocollapse)
795
; 1 = Only record values of logged objects at the end of a simulator iteration.
796
;     (same as -wlfcollapsedelta)
797
; 2 = Only record values of logged objects at the end of a simulator time step.
798
;     (same as -wlfcollapsetime)
799
; The default is 1.
800
; WLFCollapseMode = 0
801
 
802
; Specify whether WLF file logging can use threads on multi-processor machines
803
; if 0, no threads will be used, if 1, threads will be used if the system has
804
; more than one processor
805
; WLFUseThreads = 1
806
 
807
; Turn on/off undebuggable SystemC type warnings. Default is on.
808
; ShowUndebuggableScTypeWarning = 0
809
 
810
; Turn on/off unassociated SystemC name warnings. Default is off.
811
; ShowUnassociatedScNameWarning = 1
812
 
813
; Turn on/off SystemC IEEE 1666 deprecation warnings. Default is off.
814
; ScShowIeeeDeprecationWarnings = 1
815
 
816
; Turn on/off the check for multiple drivers on a SystemC sc_signal. Default is off.
817
; ScEnableScSignalWriteCheck = 1
818
 
819
; Set SystemC default time unit.
820
; Set to fs, ps, ns, us, ms, or sec with optional
821
; prefix of 1, 10, or 100.  The default is 1 ns.
822
; The ScTimeUnit value is honored if it is coarser than Resolution.
823
; If ScTimeUnit is finer than Resolution, it is set to the value
824
; of Resolution. For example, if Resolution is 100ps and ScTimeUnit is ns,
825
; then the default time unit will be 1 ns.  However if Resolution
826
; is 10 ns and ScTimeUnit is ns, then the default time unit will be 10 ns.
827
ScTimeUnit = ns
828
 
829
; Set SystemC sc_main stack size. The stack size is set as an integer
830
; number followed by the unit which can be Kb(Kilo-byte), Mb(Mega-byte) or
831
; Gb(Giga-byte). Default is 10 Mb. The stack size for sc_main depends
832
; on the amount of data on the sc_main() stack and the memory required
833
; to succesfully execute the longest function call chain of sc_main().
834
ScMainStackSize = 10 Mb
835
 
836
; Turn on/off execution of remainder of sc_main upon quitting the current
837
; simulation session. If the cumulative length of sc_main() in terms of
838
; simulation time units is less than the length of the current simulation
839
; run upon quit or restart, sc_main() will be in the middle of execution.
840
; This switch gives the option to execute the remainder of sc_main upon
841
; quitting simulation. The drawback of not running sc_main till the end
842
; is memory leaks for objects created by sc_main. If on, the remainder of
843
; sc_main will be executed ignoring all delays. This may cause the simulator
844
; to crash if the code in sc_main is dependent on some simulation state.
845
; Default is on.
846
ScMainFinishOnQuit = 1
847
 
848
; Set the SCV relationship name that will be used to identify phase
849
; relations.  If the name given to a transactor relation matches this
850
; name, the transactions involved will be treated as phase transactions
851
ScvPhaseRelationName = mti_phase
852
 
853
; Customize the vsim kernel shutdown behavior at the end of the simulation.
854
; Some common causes of the end of simulation are $finish (implicit or explicit),
855
; sc_stop(), tf_dofinish(), and assertion failures.
856
; This should be set to "ask", "exit", or "stop". The default is "ask".
857
; "ask"   -- In batch mode, the vsim kernel will abruptly exit.
858
;            In GUI mode, a dialog box will pop up and ask for user confirmation
859
;            whether or not to quit the simulation.
860
; "stop"  -- Cause the simulation to stay loaded in memory. This can make some
861
;            post-simulation tasks easier.
862
; "exit"  -- The simulation will abruptly exit without asking for any confirmation.
863
; "final" -- Run SystemVerilog final blocks then behave as "stop".
864
; Note: these ini variables can be overriden by the vsim command
865
;       line switch "-onfinish ".
866
OnFinish = ask
867
 
868
; Print pending deferred assertion messages.
869
; Deferred assertion messages may be scheduled after the $finish in the same
870
; time step. Deferred assertions scheduled to print after the $finish are
871
; printed before exiting with severity level NOTE since it's not known whether
872
; the assertion is still valid due to being printed in the active region
873
; instead of the reactive region where they are normally printed.
874
; OnFinishPendingAssert = 1;
875
 
876
; Print "simstats" result at the end of simulation before shutdown.
877
; If this is enabled, the simstats result will be printed out before shutdown.
878
; The default is off.
879
; PrintSimStats = 1
880
 
881
; Assertion File - alternate file for storing VHDL/PSL/Verilog assertion messages
882
; AssertFile = assert.log
883
 
884
; Run simulator in assertion debug mode. Default is off.
885
; AssertionDebug = 1
886
 
887
; Turn on/off PSL/SVA concurrent assertion pass enable.
888
; For SVA, Default is on when the assertion has a pass action block, or
889
; the vsim -assertdebug option is used and the vopt "+acc=a" flag is active.
890
; For PSL, Default is on only when vsim switch "-assertdebug" is used
891
; and the vopt "+acc=a" flag is active.
892
; AssertionPassEnable = 0
893
 
894
; Turn on/off PSL/SVA concurrent assertion fail enable. Default is on.
895
; AssertionFailEnable = 0
896
 
897
; Set PSL/SVA concurrent assertion pass limit. Default is -1.
898
; Any positive integer, -1 for infinity.
899
; AssertionPassLimit = 1
900
 
901
; Set PSL/SVA concurrent assertion fail limit. Default is -1.
902
; Any positive integer, -1 for infinity.
903
; AssertionFailLimit = 1
904
 
905
; Turn on/off PSL concurrent assertion pass log. Default is off.
906
; The flag does not affect SVA
907
; AssertionPassLog = 1
908
 
909
; Turn on/off PSL concurrent assertion fail log. Default is on.
910
; The flag does not affect SVA
911
; AssertionFailLog = 0
912
 
913
; Turn on/off SVA concurrent assertion local var printing in -assertdebug mode.  Default is on.
914
; AssertionFailLocalVarLog = 0
915
 
916
; Set action type for PSL/SVA concurrent assertion fail action. Default is continue.
917
; 0 = Continue  1 = Break  2 = Exit
918
; AssertionFailAction = 1
919
 
920
; Enable the active thread monitor in the waveform display when assertion debug is enabled.
921
; AssertionActiveThreadMonitor = 1
922
 
923
; Control how many waveform rows will be used for displaying the active threads.  Default is 5.
924
; AssertionActiveThreadMonitorLimit = 5
925
 
926
; Control how many thread start times will be preserved for ATV viewing for a given assertion
927
; instance.  Default is -1 (ALL).
928
; ATVStartTimeKeepCount = -1
929
 
930
; Turn on/off code coverage
931
; CodeCoverage = 0
932
 
933
; Count all code coverage condition and expression truth table rows that match.
934
; CoverCountAll = 1
935
 
936
; Turn off automatic inclusion of VHDL integers in toggle coverage. Default
937
; is to include them.
938
; ToggleNoIntegers = 1
939
 
940
; Set the maximum number of values that are collected for toggle coverage of
941
; VHDL integers. Default is 100;
942
; ToggleMaxIntValues = 100
943
 
944
; Set the maximum number of values that are collected for toggle coverage of
945
; Verilog real. Default is 100;
946
; ToggleMaxRealValues = 100
947
 
948
; Turn on automatic inclusion of Verilog integers in toggle coverage, except
949
; for enumeration types. Default is to include them.
950
; ToggleVlogIntegers = 0
951
 
952
; Turn on automatic inclusion of Verilog real type in toggle coverage, except
953
; for shortreal types. Default is to not include them.
954
; ToggleVlogReal = 1
955
 
956
; Turn on automatic inclusion of Verilog fixed-size unpacked arrays in toggle coverage.
957
; Default is to not include them.
958
; ToggleFixedSizeArray = 1
959
 
960
; Increase or decrease the maximum size of Verilog unpacked fixed-size arrays that
961
; are included for toggle coverage. This leads to a longer simulation time with bigger
962
; arrays covered with toggle coverage. Default is 1024.
963
; ToggleMaxFixedSizeArray = 1024
964
 
965
; Treat packed vectors and structures as reg-vectors in toggle coverage. Default is 0.
966
; TogglePackedAsVec = 0
967
 
968
; Treat Verilog enumerated types as reg-vectors in toggle coverage. Default is 0.
969
; ToggleVlogEnumBits = 0
970
 
971
; Limit the widths of registers automatically tracked for toggle coverage. Default is 128.
972
; For unlimited width, set to 0.
973
; ToggleWidthLimit = 128
974
 
975
; Limit the counts that are tracked for toggle coverage. When all edges for a bit have
976
; reached this count, further activity on the bit is ignored. Default is 1.
977
; For unlimited counts, set to 0.
978
; ToggleCountLimit = 1
979
 
980
; Turn on/off all PSL/SVA cover directive enables.  Default is on.
981
; CoverEnable = 0
982
 
983
; Turn on/off PSL/SVA cover log.  Default is off.
984
; CoverLog = 1
985
 
986
; Set "at_least" value for all PSL/SVA cover directives.  Default is 1.
987
; CoverAtLeast = 2
988
 
989
; Set "limit" value for all PSL/SVA cover directives.  Default is -1.
990
; Any positive integer, -1 for infinity.
991
; CoverLimit = 1
992
 
993
; Specify the coverage database filename.
994
; Default is "" (i.e. database is NOT automatically saved on close).
995
; UCDBFilename = vsim.ucdb
996
 
997
; Specify the maximum limit for the number of Cross (bin) products reported
998
; in XML and UCDB report against a Cross. A warning is issued if the limit
999
; is crossed.
1000
; MaxReportRhsSVCrossProducts = 1000
1001
 
1002
; Specify the override for the "auto_bin_max" option for the Covergroups.
1003
; If not specified then value from Covergroup "option" is used.
1004
; SVCoverpointAutoBinMax = 64
1005
 
1006
; Specify the override for the value of "cross_num_print_missing"
1007
; option for the Cross in Covergroups. If not specified then value
1008
; specified in the "option.cross_num_print_missing" is used. This
1009
; is a runtime option. NOTE: This overrides any "cross_num_print_missing"
1010
; value specified by user in source file and any SVCrossNumPrintMissingDefault
1011
; specified in modelsim.ini.
1012
; SVCrossNumPrintMissing = 0
1013
 
1014
; Specify whether to use the value of "cross_num_print_missing"
1015
; option in report and GUI for the Cross in Covergroups. If not specified then
1016
; cross_num_print_missing is ignored for creating reports and displaying
1017
; covergroups in GUI. Default is 0, which means ignore "cross_num_print_missing".
1018
; UseSVCrossNumPrintMissing = 0
1019
 
1020
; Specify the override for the value of "strobe" option for the
1021
; Covergroup Type. If not specified then value in "type_option.strobe"
1022
; will be used. This is runtime option which forces "strobe" to
1023
; user specified value and supersedes user specified values in the
1024
; SystemVerilog Code. NOTE: This also overrides the compile time
1025
; default value override specified using "SVCovergroupStrobeDefault"
1026
; SVCovergroupStrobe = 0
1027
 
1028
; Override for explicit assignments in source code to "option.goal" of
1029
; SystemVerilog covergroup, coverpoint, and cross. It also overrides the
1030
; default value of "option.goal" (defined to be 100 in the SystemVerilog
1031
; LRM) and the value of modelsim.ini variable "SVCovergroupGoalDefault".
1032
; SVCovergroupGoal = 100
1033
 
1034
; Override for explicit assignments in source code to "type_option.goal" of
1035
; SystemVerilog covergroup, coverpoint, and cross. It also overrides the
1036
; default value of "type_option.goal" (defined to be 100 in the SystemVerilog
1037
; LRM) and the value of modelsim.ini variable "SVCovergroupTypeGoalDefault".
1038
; SVCovergroupTypeGoal = 100
1039
 
1040
; Enforce the 6.3 behavior of covergroup get_coverage() and get_inst_coverage()
1041
; builtin functions, and report. This setting changes the default values of
1042
; option.get_inst_coverage and type_option.merge_instances to ensure the 6.3
1043
; behavior if explicit assignments are not made on option.get_inst_coverage and
1044
; type_option.merge_instances by the user. There are two vsim command line
1045
; options, -cvg63 and -nocvg63 to override this setting from vsim command line.
1046
; The default value of this variable is 1
1047
; SVCovergroup63Compatibility = 1
1048
 
1049
; Enable or disable generation of more detailed information about the sampling
1050
; of covergroup, cross, and coverpoints. It provides the details of the number
1051
; of times the covergroup instance and type were sampled, as well as details
1052
; about why covergroup, cross and coverpoint were not covered. A non-zero value
1053
; is to enable this feature. 0 is to disable this feature. Default is 0
1054
; SVCovergroupSampleInfo = 0
1055
 
1056
; Specify the maximum number of Coverpoint bins in whole design for
1057
; all Covergroups.
1058
; MaxSVCoverpointBinsDesign = 2147483648
1059
 
1060
; Specify maximum number of Coverpoint bins in any instance of a Covergroup
1061
; MaxSVCoverpointBinsInst = 2147483648
1062
 
1063
; Specify the maximum number of Cross bins in whole design for
1064
; all Covergroups.
1065
; MaxSVCrossBinsDesign = 2147483648
1066
 
1067
; Specify maximum number of Cross bins in any instance of a Covergroup
1068
; MaxSVCrossBinsInst = 2147483648
1069
 
1070
; Set weight for all PSL/SVA cover directives.  Default is 1.
1071
; CoverWeight = 2
1072
 
1073
; Check vsim plusargs.  Default is 0 (off).
1074
; 0 = Don't check plusargs
1075
; 1 = Warning on unrecognized plusarg
1076
; 2 = Error and exit on unrecognized plusarg
1077
; CheckPlusargs = 1
1078
 
1079
; Load the specified shared objects with the RTLD_GLOBAL flag.
1080
; This gives global visibility to all symbols in the shared objects,
1081
; meaning that subsequently loaded shared objects can bind to symbols
1082
; in the global shared objects.  The list of shared objects should
1083
; be whitespace delimited.  This option is not supported on the
1084
; Windows or AIX platforms.
1085
; GlobalSharedObjectList = example1.so example2.so example3.so
1086
 
1087
; Run the 0in tools from within the simulator.
1088
; Default is off.
1089
; ZeroIn = 1
1090
 
1091
; Set the options to be passed to the 0in runtime tool.
1092
; Default value set to "".
1093
; ZeroInOptions = ""
1094
 
1095
; Initial seed for the Random Number Generator (RNG) of the root thread (SystemVerilog).
1096
; Sv_Seed = 0
1097
 
1098
; Maximum size of dynamic arrays that are resized during randomize().
1099
; The default is 1000. A value of 0 indicates no limit.
1100
; SolveArrayResizeMax = 1000
1101
 
1102
; Error message severity when randomize() failure is detected (SystemVerilog).
1103
; The default is 0 (no error).
1104
; 0 = No error  1 = Warning  2 = Error  3 = Failure  4 = Fatal
1105
; SolveFailSeverity = 0
1106
 
1107
; Enable/disable debug information for randomize() failures (SystemVerilog).
1108
; The default is 0 (disabled). Set to 1 to enable.
1109
; SolveFailDebug = 0
1110
 
1111
; When SolveFailDebug is enabled, this value specifies the algorithm used to
1112
; discover conflicts between constraints for randomize() failures.
1113
; The default is "many".
1114
;
1115
; Valid schemes are:
1116
;    "many" = best for determining conflicts due to many related constraints
1117
;    "few"  = best for determining conflicts due to few related constraints
1118
;
1119
; SolveFailDebugScheme = many
1120
 
1121
; When SolveFailDebug is enabled and SolveFailDebugScheme is "few", this value
1122
; specifies the maximum number of constraint subsets that will be tested for
1123
; conflicts.
1124
; The default is 0 (no limit).
1125
; SolveFailDebugLimit = 0
1126
 
1127
; When SolveFailDebug is enabled and SolveFailDebugScheme is "few", this value
1128
; specifies the maximum size of constraint subsets that will be tested for
1129
; conflicts.
1130
; The default value is 0 (no limit).
1131
; SolveFailDebugMaxSet = 0
1132
 
1133
; Maximum size of the solution graph that may be generated during randomize().
1134
; This value can be used to force randomize() to abort if the memory
1135
; requirements of the constraint scenario exceeds the specified limit. This
1136
; value is specified in 1000s of nodes.
1137
; The default is 10000. A value of 0 indicates no limit.
1138
; SolveGraphMaxSize = 10000
1139
 
1140
; Maximum number of evaluations that may be performed on the solution graph
1141
; generated during randomize(). This value can be used to force randomize() to
1142
; abort if the complexity of the constraint scenario (in time) exceeds the
1143
; specified limit. This value is specified in 10000s of evaluations.
1144
; The default is 10000. A value of 0 indicates no limit.
1145
; SolveGraphMaxEval = 10000
1146
 
1147
; Use SolveFlags to specify options that will guide the behavior of the
1148
; constraint solver. These options may improve the performance of the
1149
; constraint solver for some testcases, and decrease the performance of
1150
; the constraint solver for others.
1151
; The default value is "" (no options).
1152
;
1153
; Valid flags are:
1154
;    c = interleave bits of concatenation operands
1155
;    i = disable bit interleaving for >, >=, <, <= constraints
1156
;    n = disable bit interleaving for all constraints
1157
;    r = reverse bit interleaving
1158
;
1159
; SolveFlags =
1160
 
1161
; Specify random sequence compatiblity with a prior letter release. This
1162
; option is used to get the same random sequences during simulation as
1163
; as a prior letter release. Only prior letter releases (of the current
1164
; number release) are allowed.
1165
; Note: To achieve the same random sequences, solver optimizations and/or
1166
; bug fixes introduced since the specified release may be disabled -
1167
; yielding the performance / behavior of the prior release.
1168
; Default value set to "" (random compatibility not required).
1169
; SolveRev =
1170
 
1171
; Environment variable expansion of command line arguments has been depricated
1172
; in favor shell level expansion.  Universal environment variable expansion
1173
; inside -f files is support and continued support for MGC Location Maps provide
1174
; alternative methods for handling flexible pathnames.
1175
; The following line may be uncommented and the value set to 1 to re-enable this
1176
; deprecated behavior.  The default value is 0.
1177
; DeprecatedEnvironmentVariableExpansion = 0
1178
 
1179
; Turn on/off collapsing of bus ports in VCD dumpports output
1180
DumpportsCollapse = 1
1181
 
1182
; Location of Multi-Level Verification Component (MVC) installation.
1183
; The default location is the product installation directory.
1184
; MvcHome = $MODEL_TECH/...
1185
 
1186
[lmc]
1187
; The simulator's interface to Logic Modeling's SmartModel SWIFT software
1188
libsm = $MODEL_TECH/libsm.sl
1189
; The simulator's interface to Logic Modeling's SmartModel SWIFT software (Windows NT)
1190
; libsm = $MODEL_TECH/libsm.dll
1191
;  Logic Modeling's SmartModel SWIFT software (HP 9000 Series 700)
1192
; libswift = $LMC_HOME/lib/hp700.lib/libswift.sl
1193
;  Logic Modeling's SmartModel SWIFT software (IBM RISC System/6000)
1194
; libswift = $LMC_HOME/lib/ibmrs.lib/swift.o
1195
;  Logic Modeling's SmartModel SWIFT software (Sun4 Solaris)
1196
; libswift = $LMC_HOME/lib/sun4Solaris.lib/libswift.so
1197
;  Logic Modeling's SmartModel SWIFT software (Windows NT)
1198
; libswift = $LMC_HOME/lib/pcnt.lib/libswift.dll
1199
;  Logic Modeling's SmartModel SWIFT software (non-Enterprise versions of Linux)
1200
; libswift = $LMC_HOME/lib/x86_linux.lib/libswift.so
1201
;  Logic Modeling's SmartModel SWIFT software (Enterprise versions of Linux)
1202
; libswift = $LMC_HOME/lib/linux.lib/libswift.so
1203
 
1204
; The simulator's interface to Logic Modeling's hardware modeler SFI software
1205
libhm = $MODEL_TECH/libhm.sl
1206
; The simulator's interface to Logic Modeling's hardware modeler SFI software (Windows NT)
1207
; libhm = $MODEL_TECH/libhm.dll
1208
;  Logic Modeling's hardware modeler SFI software (HP 9000 Series 700)
1209
; libsfi = /lib/hp700/libsfi.sl
1210
;  Logic Modeling's hardware modeler SFI software (IBM RISC System/6000)
1211
; libsfi = /lib/rs6000/libsfi.a
1212
;  Logic Modeling's hardware modeler SFI software (Sun4 Solaris)
1213
; libsfi = /lib/sun4.solaris/libsfi.so
1214
;  Logic Modeling's hardware modeler SFI software (Windows NT)
1215
; libsfi = /lib/pcnt/lm_sfi.dll
1216
;  Logic Modeling's hardware modeler SFI software (Linux)
1217
; libsfi = /lib/linux/libsfi.so
1218
 
1219
[msg_system]
1220
; Change a message severity or suppress a message.
1221
; The format is:  = [,...]
1222
; suppress can be used to achieve +nowarn functionality
1223
; The format is: suppress = ,,[,,...]
1224
; Examples:
1225
;   note = 3009
1226
;   warning = 3033
1227
;   error = 3010,3016
1228
;   fatal = 3016,3033
1229
;   suppress = 3009,3016,3043
1230
;   suppress = 3009,CNNODP,3043,TFMPC
1231
; The command verror  can be used to get the complete
1232
; description of a message.
1233
 
1234
; Control transcripting of Verilog display system task messages and
1235
; PLI/FLI print function call messages.  The system tasks include
1236
; $display[bho], $strobe[bho], Smonitor{bho], and $write[bho].  They
1237
; also include the analogous file I/O tasks that write to STDOUT
1238
; (i.e. $fwrite or $fdisplay).  The PLI/FLI calls include io_printf,
1239
; vpi_printf, mti_PrintMessage, and mti_PrintFormatted.  The default
1240
; is to have messages appear only in the transcript.  The other
1241
; settings are to send messages to the wlf file only (messages that
1242
; are recorded in the wlf file can be viewed in the MsgViewer) or
1243
; to both the transcript and the wlf file.  The valid values are
1244
;    tran  {transcript only (default)}
1245
;    wlf   {wlf file only}
1246
;    both  {transcript and wlf file}
1247
; displaymsgmode = tran
1248
 
1249
; Control transcripting of elaboration/runtime messages not
1250
; addressed by the displaymsgmode setting.  The default is to
1251
; have messages appear in the transcript and recorded in the wlf
1252
; file (messages that are recorded in the wlf file can be viewed
1253
; in the MsgViewer).  The other settings are to send messages
1254
; only to the transcript or only to the wlf file.  The valid
1255
; values are
1256
;    both  {default}
1257
;    tran  {transcript only}
1258
;    wlf   {wlf file only}
1259
; msgmode = both

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.