OpenCores
URL https://opencores.org/ocsvn/sdhc-sc-core/sdhc-sc-core/trunk

Subversion Repositories sdhc-sc-core

[/] [sdhc-sc-core/] [trunk/] [grpCrc/] [unitCrc/] [syn/] [CRCsyn.qsf] - Blame information for rev 185

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 7 rkastl
# -------------------------------------------------------------------------- #
2
#
3
# Copyright (C) 1991-2010 Altera Corporation
4
# Your use of Altera Corporation's design tools, logic functions
5
# and other software and tools, and its AMPP partner logic
6
# functions, and any output files from any of the foregoing
7
# (including device programming or simulation files), and any
8
# associated documentation or information are expressly subject
9
# to the terms and conditions of the Altera Program License
10
# Subscription Agreement, Altera MegaCore Function License
11
# Agreement, or other applicable license agreement, including,
12
# without limitation, that your use is for the sole purpose of
13
# programming logic devices manufactured by Altera and sold by
14
# Altera or its authorized distributors.  Please refer to the
15
# applicable agreement for further details.
16
#
17
# -------------------------------------------------------------------------- #
18
#
19
# Quartus II
20
# Version 9.1 Build 350 03/24/2010 Service Pack 2 SJ Web Edition
21
# Date created = 21:01:43  April 16, 2010
22
#
23
# -------------------------------------------------------------------------- #
24
#
25
# Notes:
26
#
27
# 1) The default values for assignments are stored in the file:
28
#               CRCsyn_assignment_defaults.qdf
29
#    If this file doesn't exist, see file:
30
#               assignment_defaults.qdf
31
#
32
# 2) Altera recommends that you do not modify this file. This
33
#    file is updated automatically by the Quartus II software
34
#    and any changes you make may be lost or overwritten.
35
#
36
# -------------------------------------------------------------------------- #
37
 
38
 
39
set_global_assignment -name FAMILY "Cyclone II"
40
set_global_assignment -name DEVICE EP2C35F484C8
41
set_global_assignment -name TOP_LEVEL_ENTITY crc
42
set_global_assignment -name ORIGINAL_QUARTUS_VERSION "9.1 SP2"
43
set_global_assignment -name PROJECT_CREATION_TIME_DATE "21:01:43  APRIL 16, 2010"
44
set_global_assignment -name LAST_QUARTUS_VERSION "9.1 SP2"
45
set_global_assignment -name USE_GENERATED_PHYSICAL_CONSTRAINTS OFF -section_id eda_blast_fpga
46
set_global_assignment -name DEVICE_FILTER_PACKAGE FBGA
47
set_global_assignment -name DEVICE_FILTER_PIN_COUNT 484
48
set_global_assignment -name DEVICE_FILTER_SPEED_GRADE 8
49
set_global_assignment -name VHDL_FILE "../../pkgCRCs/src/CRCs-p.vhdl"
50
set_global_assignment -name VHDL_FILE "../src/Crc-Rtl-ea.vhdl"
51
set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0
52
set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85
53
set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
54
set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
55
set_global_assignment -name LL_ROOT_REGION ON -section_id "Root Region"
56
set_global_assignment -name LL_MEMBER_STATE LOCKED -section_id "Root Region"
57
set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "23 MM HEAT SINK WITH 200 LFPM AIRFLOW"
58
set_global_assignment -name POWER_BOARD_THERMAL_MODEL "NONE (CONSERVATIVE)"
59
set_global_assignment -name SMART_RECOMPILE ON
60
set_global_assignment -name FMAX_REQUIREMENT "100 MHz" -section_id Clock
61
set_instance_assignment -name CLOCK_SETTINGS Clock -to iClk
62 12 rkastl
set_global_assignment -name ENABLE_DRC_SETTINGS OFF
63
set_global_assignment -name MISC_FILE "Z:/SD-CORE/src/grpCrc/unitCrc/syn/CRCsyn.dpf"
64
set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS OFF
65
set_global_assignment -name USE_LOGICLOCK_CONSTRAINTS_IN_BALANCING OFF
66
set_global_assignment -name USE_CONFIGURATION_DEVICE ON
67
set_global_assignment -name GENERATE_RBF_FILE ON
68
set_global_assignment -name RESERVE_ALL_UNUSED_PINS "AS INPUT TRI-STATED WITH WEAK PULL-UP"
69
set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "3.3-V LVTTL"
70
set_global_assignment -name RESERVE_ASDO_AFTER_CONFIGURATION "AS INPUT TRI-STATED"
71 7 rkastl
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.