OpenCores
URL https://opencores.org/ocsvn/sdhc-sc-core/sdhc-sc-core/trunk

Subversion Repositories sdhc-sc-core

[/] [sdhc-sc-core/] [trunk/] [grpCyclone2/] [unitWriteDataFifo/] [src/] [WriteDataFifo-Syn-ea.vhdl] - Blame information for rev 185

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 170 rkastl
-- SDHC-SC-Core
2
-- Secure Digital High Capacity Self Configuring Core
3
-- 
4
-- (C) Copyright 2010, Rainer Kastl
5
-- All rights reserved.
6
-- 
7
-- Redistribution and use in source and binary forms, with or without
8
-- modification, are permitted provided that the following conditions are met:
9
--     * Redistributions of source code must retain the above copyright
10
--       notice, this list of conditions and the following disclaimer.
11
--     * Redistributions in binary form must reproduce the above copyright
12
--       notice, this list of conditions and the following disclaimer in the
13
--       documentation and/or other materials provided with the distribution.
14
--     * Neither the name of the <organization> nor the
15
--       names of its contributors may be used to endorse or promote products
16
--       derived from this software without specific prior written permission.
17
-- 
18
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS  "AS IS" AND
19
-- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
20
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
21
-- DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY
22
-- DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
23
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
24
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND
25
-- ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
26
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
27
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
28
-- 
29
-- File        : WriteDataFifo-Syn-ea.vhdl
30
-- Owner       : Rainer Kastl
31
-- Description : 
32
-- Links       : 
33
-- 
34
 
35 123 rkastl
-- megafunction wizard: %FIFO%
36
-- GENERATION: STANDARD
37
-- VERSION: WM1.0
38
-- MODULE: dcfifo 
39
 
40
-- ============================================================
41
-- File Name: WriteDataFifo.vhd
42
-- Megafunction Name(s):
43
--                      dcfifo
44
--
45
-- Simulation Library Files(s):
46
--                      altera_mf
47
-- ============================================================
48
-- ************************************************************
49
-- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
50
--
51
-- 9.1 Build 350 03/24/2010 SP 2 SJ Web Edition
52
-- ************************************************************
53
 
54
 
55
--Copyright (C) 1991-2010 Altera Corporation
56
--Your use of Altera Corporation's design tools, logic functions 
57
--and other software and tools, and its AMPP partner logic 
58
--functions, and any output files from any of the foregoing 
59
--(including device programming or simulation files), and any 
60
--associated documentation or information are expressly subject 
61
--to the terms and conditions of the Altera Program License 
62
--Subscription Agreement, Altera MegaCore Function License 
63
--Agreement, or other applicable license agreement, including, 
64
--without limitation, that your use is for the sole purpose of 
65
--programming logic devices manufactured by Altera and sold by 
66
--Altera or its authorized distributors.  Please refer to the 
67
--applicable agreement for further details.
68
 
69
 
70
LIBRARY ieee;
71
USE ieee.std_logic_1164.all;
72
 
73
LIBRARY altera_mf;
74
USE altera_mf.all;
75
 
76
ENTITY WriteDataFifo IS
77
        PORT
78
        (
79
                data            : IN STD_LOGIC_VECTOR (31 DOWNTO 0);
80
                rdclk           : IN STD_LOGIC ;
81
                rdreq           : IN STD_LOGIC ;
82
                wrclk           : IN STD_LOGIC ;
83
                wrreq           : IN STD_LOGIC ;
84
                q               : OUT STD_LOGIC_VECTOR (31 DOWNTO 0);
85
                rdempty         : OUT STD_LOGIC ;
86
                wrfull          : OUT STD_LOGIC
87
        );
88
END WriteDataFifo;
89
 
90
 
91
ARCHITECTURE SYN OF writedatafifo IS
92
 
93
        SIGNAL sub_wire0        : STD_LOGIC ;
94
        SIGNAL sub_wire1        : STD_LOGIC ;
95
        SIGNAL sub_wire2        : STD_LOGIC_VECTOR (31 DOWNTO 0);
96
 
97
 
98
 
99
        COMPONENT dcfifo
100
        GENERIC (
101
                intended_device_family          : STRING;
102
                lpm_numwords            : NATURAL;
103
                lpm_showahead           : STRING;
104
                lpm_type                : STRING;
105
                lpm_width               : NATURAL;
106
                lpm_widthu              : NATURAL;
107
                overflow_checking               : STRING;
108
                rdsync_delaypipe                : NATURAL;
109
                underflow_checking              : STRING;
110
                use_eab         : STRING;
111
                wrsync_delaypipe                : NATURAL
112
        );
113
        PORT (
114
                        wrclk   : IN STD_LOGIC ;
115
                        rdempty : OUT STD_LOGIC ;
116
                        rdreq   : IN STD_LOGIC ;
117
                        wrfull  : OUT STD_LOGIC ;
118
                        rdclk   : IN STD_LOGIC ;
119
                        q       : OUT STD_LOGIC_VECTOR (31 DOWNTO 0);
120
                        wrreq   : IN STD_LOGIC ;
121
                        data    : IN STD_LOGIC_VECTOR (31 DOWNTO 0)
122
        );
123
        END COMPONENT;
124
 
125
BEGIN
126
        rdempty    <= sub_wire0;
127
        wrfull    <= sub_wire1;
128
        q    <= sub_wire2(31 DOWNTO 0);
129
 
130
        dcfifo_component : dcfifo
131
        GENERIC MAP (
132
                intended_device_family => "Cyclone II",
133
                lpm_numwords => 512,
134
                lpm_showahead => "OFF",
135
                lpm_type => "dcfifo",
136
                lpm_width => 32,
137
                lpm_widthu => 9,
138
                overflow_checking => "ON",
139
                rdsync_delaypipe => 4,
140
                underflow_checking => "ON",
141
                use_eab => "ON",
142
                wrsync_delaypipe => 4
143
        )
144
        PORT MAP (
145
                wrclk => wrclk,
146
                rdreq => rdreq,
147
                rdclk => rdclk,
148
                wrreq => wrreq,
149
                data => data,
150
                rdempty => sub_wire0,
151
                wrfull => sub_wire1,
152
                q => sub_wire2
153
        );
154
 
155
 
156
 
157
END SYN;
158
 
159
-- ============================================================
160
-- CNX file retrieval info
161
-- ============================================================
162
-- Retrieval info: PRIVATE: AlmostEmpty NUMERIC "0"
163
-- Retrieval info: PRIVATE: AlmostEmptyThr NUMERIC "-1"
164
-- Retrieval info: PRIVATE: AlmostFull NUMERIC "0"
165
-- Retrieval info: PRIVATE: AlmostFullThr NUMERIC "-1"
166
-- Retrieval info: PRIVATE: CLOCKS_ARE_SYNCHRONIZED NUMERIC "0"
167
-- Retrieval info: PRIVATE: Clock NUMERIC "4"
168
-- Retrieval info: PRIVATE: Depth NUMERIC "512"
169
-- Retrieval info: PRIVATE: Empty NUMERIC "1"
170
-- Retrieval info: PRIVATE: Full NUMERIC "1"
171
-- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone II"
172
-- Retrieval info: PRIVATE: LE_BasedFIFO NUMERIC "0"
173
-- Retrieval info: PRIVATE: LegacyRREQ NUMERIC "1"
174
-- Retrieval info: PRIVATE: MAX_DEPTH_BY_9 NUMERIC "0"
175
-- Retrieval info: PRIVATE: OVERFLOW_CHECKING NUMERIC "0"
176
-- Retrieval info: PRIVATE: Optimize NUMERIC "0"
177
-- Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0"
178
-- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
179
-- Retrieval info: PRIVATE: UNDERFLOW_CHECKING NUMERIC "0"
180
-- Retrieval info: PRIVATE: UsedW NUMERIC "1"
181
-- Retrieval info: PRIVATE: Width NUMERIC "32"
182
-- Retrieval info: PRIVATE: dc_aclr NUMERIC "0"
183
-- Retrieval info: PRIVATE: diff_widths NUMERIC "0"
184
-- Retrieval info: PRIVATE: msb_usedw NUMERIC "0"
185
-- Retrieval info: PRIVATE: output_width NUMERIC "32"
186
-- Retrieval info: PRIVATE: rsEmpty NUMERIC "1"
187
-- Retrieval info: PRIVATE: rsFull NUMERIC "0"
188
-- Retrieval info: PRIVATE: rsUsedW NUMERIC "0"
189
-- Retrieval info: PRIVATE: sc_aclr NUMERIC "0"
190
-- Retrieval info: PRIVATE: sc_sclr NUMERIC "0"
191
-- Retrieval info: PRIVATE: wsEmpty NUMERIC "0"
192
-- Retrieval info: PRIVATE: wsFull NUMERIC "1"
193
-- Retrieval info: PRIVATE: wsUsedW NUMERIC "0"
194
-- Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone II"
195
-- Retrieval info: CONSTANT: LPM_NUMWORDS NUMERIC "512"
196
-- Retrieval info: CONSTANT: LPM_SHOWAHEAD STRING "OFF"
197
-- Retrieval info: CONSTANT: LPM_TYPE STRING "dcfifo"
198
-- Retrieval info: CONSTANT: LPM_WIDTH NUMERIC "32"
199
-- Retrieval info: CONSTANT: LPM_WIDTHU NUMERIC "9"
200
-- Retrieval info: CONSTANT: OVERFLOW_CHECKING STRING "ON"
201
-- Retrieval info: CONSTANT: RDSYNC_DELAYPIPE NUMERIC "4"
202
-- Retrieval info: CONSTANT: UNDERFLOW_CHECKING STRING "ON"
203
-- Retrieval info: CONSTANT: USE_EAB STRING "ON"
204
-- Retrieval info: CONSTANT: WRSYNC_DELAYPIPE NUMERIC "4"
205
-- Retrieval info: USED_PORT: data 0 0 32 0 INPUT NODEFVAL data[31..0]
206
-- Retrieval info: USED_PORT: q 0 0 32 0 OUTPUT NODEFVAL q[31..0]
207
-- Retrieval info: USED_PORT: rdclk 0 0 0 0 INPUT NODEFVAL rdclk
208
-- Retrieval info: USED_PORT: rdempty 0 0 0 0 OUTPUT NODEFVAL rdempty
209
-- Retrieval info: USED_PORT: rdreq 0 0 0 0 INPUT NODEFVAL rdreq
210
-- Retrieval info: USED_PORT: wrclk 0 0 0 0 INPUT NODEFVAL wrclk
211
-- Retrieval info: USED_PORT: wrfull 0 0 0 0 OUTPUT NODEFVAL wrfull
212
-- Retrieval info: USED_PORT: wrreq 0 0 0 0 INPUT NODEFVAL wrreq
213
-- Retrieval info: CONNECT: @data 0 0 32 0 data 0 0 32 0
214
-- Retrieval info: CONNECT: q 0 0 32 0 @q 0 0 32 0
215
-- Retrieval info: CONNECT: @wrreq 0 0 0 0 wrreq 0 0 0 0
216
-- Retrieval info: CONNECT: @rdreq 0 0 0 0 rdreq 0 0 0 0
217
-- Retrieval info: CONNECT: @rdclk 0 0 0 0 rdclk 0 0 0 0
218
-- Retrieval info: CONNECT: @wrclk 0 0 0 0 wrclk 0 0 0 0
219
-- Retrieval info: CONNECT: rdempty 0 0 0 0 @rdempty 0 0 0 0
220
-- Retrieval info: CONNECT: wrfull 0 0 0 0 @wrfull 0 0 0 0
221
-- Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
222
-- Retrieval info: GEN_FILE: TYPE_NORMAL WriteDataFifo.vhd TRUE
223
-- Retrieval info: GEN_FILE: TYPE_NORMAL WriteDataFifo.inc FALSE
224
-- Retrieval info: GEN_FILE: TYPE_NORMAL WriteDataFifo.cmp FALSE
225
-- Retrieval info: GEN_FILE: TYPE_NORMAL WriteDataFifo.bsf FALSE
226
-- Retrieval info: GEN_FILE: TYPE_NORMAL WriteDataFifo_inst.vhd FALSE
227
-- Retrieval info: GEN_FILE: TYPE_NORMAL WriteDataFifo_waveforms.html TRUE
228
-- Retrieval info: GEN_FILE: TYPE_NORMAL WriteDataFifo_wave*.jpg FALSE
229
-- Retrieval info: LIB_FILE: altera_mf

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.