OpenCores
URL https://opencores.org/ocsvn/sdhc-sc-core/sdhc-sc-core/trunk

Subversion Repositories sdhc-sc-core

[/] [sdhc-sc-core/] [trunk/] [grpGlobal/] [pkgGlobal/] [src/] [Global-p.vhdl] - Blame information for rev 185

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 164 rkastl
-- SDHC-SC-Core
2
-- Secure Digital High Capacity Self Configuring Core
3 118 rkastl
-- 
4 170 rkastl
-- (C) Copyright 2010, Rainer Kastl
5
-- All rights reserved.
6 164 rkastl
-- 
7 170 rkastl
-- Redistribution and use in source and binary forms, with or without
8
-- modification, are permitted provided that the following conditions are met:
9
--     * Redistributions of source code must retain the above copyright
10
--       notice, this list of conditions and the following disclaimer.
11
--     * Redistributions in binary form must reproduce the above copyright
12
--       notice, this list of conditions and the following disclaimer in the
13
--       documentation and/or other materials provided with the distribution.
14
--     * Neither the name of the <organization> nor the
15
--       names of its contributors may be used to endorse or promote products
16
--       derived from this software without specific prior written permission.
17 164 rkastl
-- 
18 170 rkastl
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS  "AS IS" AND
19
-- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
20
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
21
-- DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY
22
-- DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
23
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
24
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND
25
-- ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
26
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
27
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
28 164 rkastl
-- 
29
-- File        : Global-p.vhdl
30
-- Owner       : Rainer Kastl
31
-- Description : Global constants and functions
32
-- Links       : 
33
-- 
34 23 rkastl
 
35
library ieee;
36
use ieee.std_logic_1164.all;
37 78 rkastl
use ieee.math_real.all;
38 23 rkastl
 
39
package Global is
40
 
41 78 rkastl
    constant cActivated    :  std_ulogic := '1';
42
    constant cInactivated  :  std_ulogic := '0';
43
    constant cnActivated   :  std_ulogic := '0';
44
    constant cnInactivated :  std_ulogic := '1';
45 23 rkastl
 
46 75 rkastl
        subtype aLedBank is std_ulogic_vector(7 downto 0);
47
 
48 78 rkastl
        function LogDualis(cNumber : natural) return natural;
49
 
50 118 rkastl
 
51
        -- Edge detector
52
        constant cDetectRisingEdge  : natural := 0;
53
        constant cDetectFallingEdge : natural := 1;
54
        constant cDetectAnyEdge     : natural := 2;
55
 
56 23 rkastl
end package Global;
57
 
58 78 rkastl
package body Global is
59
 
60
        function LogDualis(cNumber : natural) return natural is
61 79 rkastl
                variable vClimbUp : natural;
62
                variable vResult  : natural;
63 78 rkastl
        begin
64 79 rkastl
                vClimbUp := 1;
65
                vResult := 0;
66
                while vClimbUp < cNumber loop
67
                        vClimbUp := vClimbUp * 2;
68
                        vResult  := vResult+1;
69
                end loop;
70
                return vResult;
71 78 rkastl
        end function LogDualis;
72
 
73
end package body Global;
74
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.