OpenCores
URL https://opencores.org/ocsvn/sdhc-sc-core/sdhc-sc-core/trunk

Subversion Repositories sdhc-sc-core

[/] [sdhc-sc-core/] [trunk/] [grpMemory/] [unitSimpleDualPortedRam/] [src/] [SimpleDualPortedRam-Rtl-a.vhdl] - Blame information for rev 185

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 164 rkastl
-- SDHC-SC-Core
2
-- Secure Digital High Capacity Self Configuring Core
3 108 rkastl
-- 
4 170 rkastl
-- (C) Copyright 2010, Rainer Kastl
5
-- All rights reserved.
6 164 rkastl
-- 
7 170 rkastl
-- Redistribution and use in source and binary forms, with or without
8
-- modification, are permitted provided that the following conditions are met:
9
--     * Redistributions of source code must retain the above copyright
10
--       notice, this list of conditions and the following disclaimer.
11
--     * Redistributions in binary form must reproduce the above copyright
12
--       notice, this list of conditions and the following disclaimer in the
13
--       documentation and/or other materials provided with the distribution.
14
--     * Neither the name of the <organization> nor the
15
--       names of its contributors may be used to endorse or promote products
16
--       derived from this software without specific prior written permission.
17 164 rkastl
-- 
18 170 rkastl
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS  "AS IS" AND
19
-- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
20
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
21
-- DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY
22
-- DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
23
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
24
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND
25
-- ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
26
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
27
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
28 164 rkastl
-- 
29
-- File        : SimpleDualPortedRam-Rtl-a.vhdl
30
-- Owner       : Rainer Kastl
31
-- Description : 
32
-- Links       : 
33
-- 
34 108 rkastl
 
35 109 rkastl
architecture Rtl of SimpleDualPortedRam is
36 108 rkastl
 
37 136 rkastl
        signal tempq          : std_logic_vector(31 downto 0);
38 124 rkastl
        signal rdaddr, wraddr : unsigned(6 downto 0);
39 108 rkastl
 
40
begin
41
 
42 124 rkastl
        Ram_inst: ENTITY work.CycSimpleDualPortedRam
43
        PORT map
44
        (
45 136 rkastl
                clock     => iClk,
46
                data      => std_logic_vector(iDataRw),
47 124 rkastl
                rdaddress => std_logic_vector(rdaddr),
48
                wraddress => std_logic_vector(wraddr),
49 136 rkastl
                wren      => iWeRW,
50
                q         => tempq
51 124 rkastl
        );
52 108 rkastl
 
53 124 rkastl
        oDataR <= std_ulogic_vector(tempq);
54
        rdaddr <= to_unsigned(iAddrR, rdaddr'length);
55
        wraddr <= to_unsigned(iAddrRW, wraddr'length);
56 108 rkastl
 
57
end architecture Rtl;
58
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.