OpenCores
URL https://opencores.org/ocsvn/sdhc-sc-core/sdhc-sc-core/trunk

Subversion Repositories sdhc-sc-core

[/] [sdhc-sc-core/] [trunk/] [grpSd/] [unitSdCardSynchronizer/] [src/] [SdCardSynchronizer-Rtl-ea.vhdl] - Blame information for rev 185

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 164 rkastl
-- SDHC-SC-Core
2
-- Secure Digital High Capacity Self Configuring Core
3 121 rkastl
-- 
4 170 rkastl
-- (C) Copyright 2010, Rainer Kastl
5
-- All rights reserved.
6 164 rkastl
-- 
7 170 rkastl
-- Redistribution and use in source and binary forms, with or without
8
-- modification, are permitted provided that the following conditions are met:
9
--     * Redistributions of source code must retain the above copyright
10
--       notice, this list of conditions and the following disclaimer.
11
--     * Redistributions in binary form must reproduce the above copyright
12
--       notice, this list of conditions and the following disclaimer in the
13
--       documentation and/or other materials provided with the distribution.
14
--     * Neither the name of the <organization> nor the
15
--       names of its contributors may be used to endorse or promote products
16
--       derived from this software without specific prior written permission.
17 164 rkastl
-- 
18 170 rkastl
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS  "AS IS" AND
19
-- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
20
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
21
-- DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY
22
-- DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
23
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
24
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND
25
-- ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
26
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
27
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
28 164 rkastl
-- 
29
-- File        : SdCardSynchronizer-Rtl-ea.vhdl
30
-- Owner       : Rainer Kastl
31
-- Description : Synchronizes SD Bus inputs
32
-- Links       : 
33
-- 
34 121 rkastl
 
35
library ieee;
36
use ieee.std_logic_1164.all;
37
use ieee.numeric_std.all;
38
 
39
use work.global.all;
40
 
41
entity SdCardSynchronizer is
42
        generic (
43
                gSyncCount : natural := 1
44
        );
45
        port (
46
 
47
                iClk      : in std_ulogic;
48
                iRstSync  : in std_ulogic;
49 150 rkastl
                iStrobe   : in std_ulogic;
50 121 rkastl
                iCmd      : in std_logic;
51
                iData     : in std_logic_vector(3 downto 0);
52
                oCmdSync  : out std_ulogic;
53
                oDataSync : out std_ulogic_vector(3 downto 0)
54
 
55
        );
56
end entity SdCardSynchronizer;
57
 
58
architecture Rtl of SdCardSynchronizer is
59
 
60
        type aDataSync is array (0 to gSyncCount - 1) of std_ulogic_vector(3 downto 0);
61
 
62
        signal CmdSync  : std_ulogic_vector(gSyncCount - 1 downto 0);
63
        signal DataSync : aDataSync;
64
 
65
begin
66
 
67
        -- Registers 
68
        Reg : process (iClk, iRstSync)
69
        begin
70
                if (rising_edge(iClk)) then
71
                        -- synchronous reset
72
                        if (iRstSync = cActivated) then
73
 
74
                                CmdSync  <= (others => '0');
75
                                DataSync <= (others => (others => '0'));
76
 
77
                        else
78
 
79 150 rkastl
                                if (iStrobe = cActivated) then
80
                                        -- register input data
81
                                        CmdSync(0)  <= iCmd;
82
                                        DataSync(0) <= std_ulogic_vector(iData);
83 121 rkastl
 
84 150 rkastl
                                        -- additional synchronization FFs
85
                                        for i in 1 to gSyncCount - 1 loop
86 121 rkastl
 
87 150 rkastl
                                                CmdSync(i)  <= CmdSync(i - 1);
88
                                                DataSync(i) <= DataSync(i - 1);
89 121 rkastl
 
90 150 rkastl
                                        end loop;
91
                                end if;
92 121 rkastl
                        end if;
93
                end if;
94
        end process Reg;
95
 
96
        -- output the last registers
97
 
98
        oCmdSync  <= CmdSync(gSyncCount - 1);
99
        oDataSync <= DataSync(gSyncCount - 1);
100
 
101
end architecture Rtl;
102 136 rkastl
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.