OpenCores
URL https://opencores.org/ocsvn/sdhc-sc-core/sdhc-sc-core/trunk

Subversion Repositories sdhc-sc-core

[/] [sdhc-sc-core/] [trunk/] [grpSd/] [unitSdClockMaster/] [src/] [tbSdClockMaster-Bhv-ea.vhdl] - Blame information for rev 185

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 164 rkastl
-- SDHC-SC-Core
2
-- Secure Digital High Capacity Self Configuring Core
3 129 rkastl
-- 
4 170 rkastl
-- (C) Copyright 2010, Rainer Kastl
5
-- All rights reserved.
6 164 rkastl
-- 
7 170 rkastl
-- Redistribution and use in source and binary forms, with or without
8
-- modification, are permitted provided that the following conditions are met:
9
--     * Redistributions of source code must retain the above copyright
10
--       notice, this list of conditions and the following disclaimer.
11
--     * Redistributions in binary form must reproduce the above copyright
12
--       notice, this list of conditions and the following disclaimer in the
13
--       documentation and/or other materials provided with the distribution.
14
--     * Neither the name of the <organization> nor the
15
--       names of its contributors may be used to endorse or promote products
16
--       derived from this software without specific prior written permission.
17 164 rkastl
-- 
18 170 rkastl
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS  "AS IS" AND
19
-- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
20
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
21
-- DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY
22
-- DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
23
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
24
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND
25
-- ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
26
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
27
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
28 164 rkastl
-- 
29
-- File        : tbSdClockMaster-Bhv-ea.vhdl
30
-- Owner       : Rainer Kastl
31
-- Description : Non automated testbench
32
-- Links       : 
33
-- 
34 129 rkastl
 
35
library ieee;
36
use ieee.std_logic_1164.all;
37
use ieee.numeric_std.all;
38
use work.global.all;
39
 
40
entity tbSdClockMaster is
41
        end entity tbSdClockMaster;
42
 
43
architecture Bhv of tbSdClockMaster is
44
 
45
        signal Clk             : std_ulogic := cInactivated;
46
        constant cClkFrequency : natural    := 100E6;
47
        constant cClkPeriod    : time       := (1 sec / cClkFrequency);
48
        signal RstSync         : std_ulogic := cActivated;
49
        constant cResetTime    : time       := 5 * cClkPeriod;
50
        signal Finished        : boolean    := false;
51
 
52
        -- DUT signals
53
 
54
        signal iHighSpeed, iDisable : std_ulogic := cInactivated;
55
        signal          oStrobe, oSdClk : std_ulogic;
56
 
57
begin
58
 
59
        -- generate clock and reset
60
 
61
        Clk     <= not Clk after cClkPeriod / 2 when Finished = false else cInactivated;
62
        RstSync <= cInactivated after cResetTime;
63
 
64
        -- stimuli
65
 
66
        stimuli : process
67
        begin
68 130 rkastl
                iHighSpeed <= cActivated after 1001 ns,
69
                                          cInactivated after 1026 ns,
70
                                          cActivated after 1306 ns;
71 129 rkastl
 
72 130 rkastl
                iDisable   <= cActivated after 2346 ns,
73
                                          cInactivated after 3001 ns,
74
                                          cActivated after 3423 ns;
75
                Finished   <= true after 5001 ns;
76 129 rkastl
                wait;
77
        end process stimuli;
78
 
79
        DUT: entity work.SdClockMaster
80
        port map(
81
                iClk       => Clk,
82
                iRstSync   => RstSync,
83
 
84
                iHighSpeed => iHighSpeed,
85
                iDisable   => iDisable,
86
 
87
                oSdStrobe  => oStrobe,
88
                oSdCardClk => oSdClk
89
        );
90
 
91
 
92
end architecture Bhv;
93
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.