OpenCores
URL https://opencores.org/ocsvn/sdhc-sc-core/sdhc-sc-core/trunk

Subversion Repositories sdhc-sc-core

[/] [sdhc-sc-core/] [trunk/] [grpSd/] [unitSdData/] [Pins.tcl] - Blame information for rev 185

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 164 rkastl
# SDHC-SC-Core
2
# Secure Digital High Capacity Self Configuring Core
3
# 
4 170 rkastl
# (C) Copyright 2010, Rainer Kastl
5
# All rights reserved.
6 164 rkastl
# 
7 170 rkastl
# Redistribution and use in source and binary forms, with or without
8
# modification, are permitted provided that the following conditions are met:
9
#     * Redistributions of source code must retain the above copyright
10
#       notice, this list of conditions and the following disclaimer.
11
#     * Redistributions in binary form must reproduce the above copyright
12
#       notice, this list of conditions and the following disclaimer in the
13
#       documentation and/or other materials provided with the distribution.
14
#     * Neither the name of the <organization> nor the
15
#       names of its contributors may be used to endorse or promote products
16
#       derived from this software without specific prior written permission.
17 164 rkastl
# 
18 170 rkastl
# THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS  "AS IS" AND
19
# ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
20
# WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
21
# DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY
22
# DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
23
# (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
24
# LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND
25
# ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
26
# (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
27
# SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
28 164 rkastl
# 
29
# File        : Pins.tcl
30
# Owner       : Rainer Kastl
31
# Description : 
32
# Links       : 
33
# 
34
 
35 92 rkastl
# Pin & Location Assignments
36
# Signal Pin Pullup
37
set pins {
38
 
39
}
40
 
41
# Set according to pins
42
source ../../../syn/pins.tcl
43
 
44
set_global_assignment -name RESERVE_ALL_UNUSED_PINS "AS INPUT TRI-STATED WITH WEAK PULL-UP"
45
 
46
# #set_location_assignment PIN_B20 -to AVRAD[0]
47
# #set_location_assignment PIN_A20 -to AVRAD[1]
48
# #set_location_assignment PIN_B19 -to AVRAD[2]
49
# #set_location_assignment PIN_A19 -to AVRAD[3]
50
# #set_location_assignment PIN_B18 -to AVRAD[4]
51
# #set_location_assignment PIN_A18 -to AVRAD[5]
52
# #set_location_assignment PIN_B17 -to AVRAD[6]
53
# #set_location_assignment PIN_A17 -to AVRAD[7]
54
# #set_location_assignment PIN_A11 -to AVRADR[8]
55
# #set_location_assignment PIN_A13 -to AVRADR[9]
56
# #set_location_assignment PIN_B13 -to AVRADR[10]
57
# #set_location_assignment PIN_A14 -to AVRADR[11]
58
# #set_location_assignment PIN_B14 -to AVRADR[12]
59
# #set_location_assignment PIN_A15 -to AVRADR[13]
60
# #set_location_assignment PIN_B15 -to AVRADR[14]
61
# #set_location_assignment PIN_A16 -to AVRADR[15]
62
# #set_location_assignment PIN_B16 -to AVRALE
63
# #set_location_assignment PIN_E15 -to AVRIRQ
64
# #set_location_assignment PIN_B11 -to AVRRD
65
# #set_location_assignment PIN_A10 -to AVRWR
66
# #set_location_assignment PIN_C21 -to BCLK
67
# #set_location_assignment PIN_D22 -to DIN
68
# #set_location_assignment PIN_E22 -to DOUT
69
# #set_location_assignment PIN_D21 -to LRCIN
70
# #set_location_assignment PIN_E21 -to LRCOUT
71
# #set_location_assignment PIN_E19 -to MCLK
72
# set_location_assignment PIN_A12 -to iClk
73
# #set_location_assignment PIN_AB11 -to iClk
74
# #set_location_assignment PIN_C22 -to CS
75
# set_location_assignment PIN_AB5 -to inKey1
76
# set_location_assignment PIN_AA5 -to inKey2
77
# set_location_assignment PIN_AB4 -to inKey3
78
# set_location_assignment PIN_AA4 -to inKey4
79
# set_location_assignment PIN_AB3 -to inKey5
80
# set_location_assignment PIN_AA3 -to inKey6
81
# set_location_assignment PIN_Y6 -to oSeg0
82
# set_location_assignment PIN_W5 -to oSeg1
83
# set_location_assignment PIN_Y5 -to oSeg2
84
# set_location_assignment PIN_Y7 -to oSeg3
85
# set_location_assignment PIN_V8 -to oSeg4
86
# set_location_assignment PIN_W8 -to oSeg5
87
# set_location_assignment PIN_Y9 -to oSeg6
88
# set_location_assignment PIN_W7 -to oSeg7
89
# set_location_assignment PIN_W4 -to oDIGIT_ADR_A
90
# set_location_assignment PIN_Y4 -to oDIGIT_ADR_B
91
# set_location_assignment PIN_Y3 -to oDIGIT_ADR_C
92
# #set_location_assignment PIN_B4 -to Txd232
93
# set_location_assignment PIN_Y20 -to inResetAsync
94
# #set_location_assignment PIN_D5 -to Ps2Clk1
95
# #set_location_assignment PIN_E7 -to Ps2Clk2
96
# #set_location_assignment PIN_D4 -to Ps2Dat1
97
# #set_location_assignment PIN_C4 -to Ps2Dat2
98
# #set_location_assignment PIN_A4 -to Rxd232
99
# #set_location_assignment PIN_W14 -to VgaBl0
100
# #set_location_assignment PIN_Y14 -to VgaBl1
101
# #set_location_assignment PIN_Y16 -to VgaGr0
102
# #set_location_assignment PIN_W15 -to VgaGr1
103
# #set_location_assignment PIN_V14 -to VgaHsync
104
# #set_location_assignment PIN_Y17 -to VgaRd0
105
# #set_location_assignment PIN_W16 -to VgaRd1
106
# #set_location_assignment PIN_AA6 -to VgaVsync
107
# #set_location_assignment PIN_C19 -to SCLK
108
# #set_location_assignment PIN_C20 -to SDIN
109
# #set_location_assignment PIN_AB7 -to mcoll_pad_i
110
# #set_location_assignment PIN_AA7 -to mcrs_pad_i
111
# #set_location_assignment PIN_W12 -to mrx_clk_pad_i
112
# #set_location_assignment PIN_AA14 -to mrxd_pad_i[0]
113
# #set_location_assignment PIN_AB15 -to mrxd_pad_i[1]
114
# #set_location_assignment PIN_AA15 -to mrxd_pad_i[2]
115
# #set_location_assignment PIN_AB16 -to mrxd_pad_i[3]
116
# #set_location_assignment PIN_AB13 -to mrxdv_pad_i
117
# #set_location_assignment PIN_AA13 -to mrxerr_pad_i
118
# #set_location_assignment PIN_V12 -to mtx_clk_pad_i
119
# #set_location_assignment PIN_AB9 -to mtxd_pad_o[0]
120
# #set_location_assignment PIN_AA9 -to mtxd_pad_o[1]
121
# #set_location_assignment PIN_AB8 -to mtxd_pad_o[2]
122
# #set_location_assignment PIN_AA8 -to mtxd_pad_o[3]
123
# #set_location_assignment PIN_AB12 -to mtxen_pad_o
124
# #set_location_assignment PIN_AB14 -to mtxerr_pad_o
125
# #set_location_assignment PIN_AB6 -to ETH_Reset_o
126
# #set_location_assignment PIN_AB17 -to md_io
127
# #set_location_assignment PIN_AA16 -to mdc_o
128
#
129
# set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to inKey1
130
# set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to inKey2
131
# set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to inKey3
132
# set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to inKey4
133
# set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to inKey5
134
# set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to inKey6
135
# set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.