OpenCores
URL https://opencores.org/ocsvn/sdhc-sc-core/sdhc-sc-core/trunk

Subversion Repositories sdhc-sc-core

[/] [sdhc-sc-core/] [trunk/] [grpSd/] [unitSdData/] [syn/] [SdDatasyn.tcl] - Blame information for rev 185

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 164 rkastl
# SDHC-SC-Core
2
# Secure Digital High Capacity Self Configuring Core
3
# 
4 170 rkastl
# (C) Copyright 2010, Rainer Kastl
5
# All rights reserved.
6 164 rkastl
# 
7 170 rkastl
# Redistribution and use in source and binary forms, with or without
8
# modification, are permitted provided that the following conditions are met:
9
#     * Redistributions of source code must retain the above copyright
10
#       notice, this list of conditions and the following disclaimer.
11
#     * Redistributions in binary form must reproduce the above copyright
12
#       notice, this list of conditions and the following disclaimer in the
13
#       documentation and/or other materials provided with the distribution.
14
#     * Neither the name of the <organization> nor the
15
#       names of its contributors may be used to endorse or promote products
16
#       derived from this software without specific prior written permission.
17 164 rkastl
# 
18 170 rkastl
# THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS  "AS IS" AND
19
# ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
20
# WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
21
# DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY
22
# DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
23
# (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
24
# LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND
25
# ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
26
# (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
27
# SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
28 164 rkastl
# 
29
# File        : SdDatasyn.tcl
30
# Owner       : Rainer Kastl
31
# Description : 
32
# Links       : 
33
# 
34
 
35 92 rkastl
# Load Quartus II Tcl Project package
36
package require ::quartus::project
37
package require ::quartus::flow
38
 
39
set need_to_close_project 0
40
set make_assignments 1
41
 
42
# Check that the right project is open
43
if {[is_project_open]} {
44
        if {[string compare $quartus(project) "SdDatasyn"]} {
45
                puts "Project SdDatasyn is not open"
46
                set make_assignments 0
47
        }
48
} else {
49
        # Only open if not already open
50
        if {[project_exists SdDatasyn]} {
51
                project_open -revision SdDatasyn SdDatasyn
52
        } else {
53
                project_new -revision SdDatasyn SdDatasyn
54
        }
55
        set need_to_close_project 1
56
}
57
 
58
# Make assignments
59
if {$make_assignments} {
60
        set_global_assignment -name FAMILY "Cyclone II"
61
        set_global_assignment -name DEVICE EP2C35F484C8
62
        set_global_assignment -name TOP_LEVEL_ENTITY SdData
63
        set_global_assignment -name USE_GENERATED_PHYSICAL_CONSTRAINTS OFF -section_id eda_blast_fpga
64
 
65
        source ../Files.tcl
66
        source ../../../syn/syn.tcl
67
 
68
        set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
69
        set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
70
        set_global_assignment -name SMART_RECOMPILE ON
71
        set_global_assignment -name FMAX_REQUIREMENT "100 MHz" -section_id Clock
72
        set_global_assignment -name ENABLE_DRC_SETTINGS OFF
73
        set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS OFF
74
        set_global_assignment -name USE_CONFIGURATION_DEVICE ON
75
 
76
        # Generate RBF
77
        set_global_assignment -name GENERATE_RBF_FILE ON
78
        set_global_assignment -name ON_CHIP_BITSTREAM_DECOMPRESSION OFF
79
 
80
        source ../Pins.tcl
81
 
82
        set_instance_assignment -name CLOCK_SETTINGS Clock -to iClk
83
        set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top
84
 
85
        # Commit assignments
86
        export_assignments
87
 
88
        # Compile project
89 93 rkastl
        if {[catch {execute_flow -analysis_and_elaboration} result]} {
90 92 rkastl
                puts "\nResult: $result\n"
91
                puts "ERROR: Compilation failed. See report files.\n"
92
        } else {
93
                puts "\nINFO: Compilation was successful.\n"
94
        }
95
 
96
        # Close project
97
        if {$need_to_close_project} {
98
                project_close
99
        }
100
}

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.