OpenCores
URL https://opencores.org/ocsvn/sdhc-sc-core/sdhc-sc-core/trunk

Subversion Repositories sdhc-sc-core

[/] [sdhc-sc-core/] [trunk/] [grpSd/] [unitSdWbSlave/] [src/] [SdWbSlave-e.vhdl] - Blame information for rev 185

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 164 rkastl
-- SDHC-SC-Core
2
-- Secure Digital High Capacity Self Configuring Core
3 119 rkastl
-- 
4 170 rkastl
-- (C) Copyright 2010, Rainer Kastl
5
-- All rights reserved.
6 164 rkastl
-- 
7 170 rkastl
-- Redistribution and use in source and binary forms, with or without
8
-- modification, are permitted provided that the following conditions are met:
9
--     * Redistributions of source code must retain the above copyright
10
--       notice, this list of conditions and the following disclaimer.
11
--     * Redistributions in binary form must reproduce the above copyright
12
--       notice, this list of conditions and the following disclaimer in the
13
--       documentation and/or other materials provided with the distribution.
14
--     * Neither the name of the <organization> nor the
15
--       names of its contributors may be used to endorse or promote products
16
--       derived from this software without specific prior written permission.
17 164 rkastl
-- 
18 170 rkastl
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS  "AS IS" AND
19
-- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
20
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
21
-- DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY
22
-- DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
23
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
24
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND
25
-- ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
26
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
27
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
28 164 rkastl
-- 
29
-- File        : SdWbSlave-e.vhdl
30
-- Owner       : Rainer Kastl
31
-- Description : Wishbone interface of SDHC-SC-Core
32
-- Links       : 
33
-- 
34 119 rkastl
 
35
library ieee;
36
use ieee.std_logic_1164.all;
37
use ieee.math_real.all;
38
use work.Global.all;
39
use work.wishbone.all;
40
use work.SdWb.all;
41
 
42
entity SdWbSlave is
43
        port (
44
                iClk     : in std_ulogic; -- Clock, rising clock edge
45
                iRstSync : in std_ulogic; -- Reset, active high, synchronous
46
 
47
                -- wishbone
48
                iWbCtrl : in aWbSlaveCtrlInput; -- All control signals for a wishbone slave
49
                oWbCtrl : out aWbSlaveCtrlOutput; -- All output signals for a wishbone slave
50
                iWbDat  : in aSdWbSlaveDataInput;
51
                oWbDat  : out aSdWbSlaveDataOutput;
52
 
53
                -- To sd controller
54
                iController : in aSdControllerToSdWbSlave;
55 123 rkastl
                oController : out aSdWbSlaveToSdController;
56
 
57
                -- To write fifo
58
                oWriteFifo : out aoWriteFifo;
59 126 rkastl
                iWriteFifo : in aiWriteFifo;
60
 
61
                -- To read fifo
62
                oReadFifo : out aoReadFifo;
63
                iReadFifo : in aiReadFifo
64 119 rkastl
        );
65
end entity;
66
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.