OpenCores
URL https://opencores.org/ocsvn/sdhc-sc-core/sdhc-sc-core/trunk

Subversion Repositories sdhc-sc-core

[/] [sdhc-sc-core/] [trunk/] [grpSd/] [unitTbdSd/] [Pins.tcl] - Blame information for rev 185

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 164 rkastl
# SDHC-SC-Core
2
# Secure Digital High Capacity Self Configuring Core
3
# 
4 170 rkastl
# (C) Copyright 2010, Rainer Kastl
5
# All rights reserved.
6 164 rkastl
# 
7 170 rkastl
# Redistribution and use in source and binary forms, with or without
8
# modification, are permitted provided that the following conditions are met:
9
#     * Redistributions of source code must retain the above copyright
10
#       notice, this list of conditions and the following disclaimer.
11
#     * Redistributions in binary form must reproduce the above copyright
12
#       notice, this list of conditions and the following disclaimer in the
13
#       documentation and/or other materials provided with the distribution.
14
#     * Neither the name of the <organization> nor the
15
#       names of its contributors may be used to endorse or promote products
16
#       derived from this software without specific prior written permission.
17 164 rkastl
# 
18 170 rkastl
# THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS  "AS IS" AND
19
# ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
20
# WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
21
# DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY
22
# DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
23
# (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
24
# LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND
25
# ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
26
# (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
27
# SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
28 164 rkastl
# 
29
# File        : Pins.tcl
30
# Owner       : Rainer Kastl
31
# Description : 
32
# Links       : 
33
# 
34
 
35 72 rkastl
# Pin & Location Assignments
36
# Signal Pin Pullup
37
set pins {
38
        iClk A12 0
39
        inResetAsync Y20 1
40 74 rkastl
        ioCmd  A8 1
41
        ioData[0] A9 1
42
        ioData[1] D6 1
43
        ioData[2] C14 1
44
        ioData[3] B8 1
45
        oSclk B9 0
46
        oIcs307Sclk C10 0
47
        oIcs307Data C9 0
48 85 rkastl
        oIcs307Strobe E9 0
49 75 rkastl
        oLedBank[0] Y6 0
50
        oLedBank[1] W5 0
51
        oLedBank[2] Y5 0
52
        oLedBank[3] Y7 0
53
        oLedBank[4] V8 0
54
        oLedBank[5] W8 0
55
        oLedBank[6] Y9 0
56
        oLedBank[7] W7 0
57 74 rkastl
        oDigitAdr[3] Y3 0
58
        oDigitAdr[2] Y4 0
59
        oDigitAdr[1] W4 0
60 79 rkastl
        oTx B5 0
61 72 rkastl
}
62
 
63
# Set according to pins
64 85 rkastl
source ../../../syn/pins.tcl
65 72 rkastl
 
66
set_global_assignment -name RESERVE_ALL_UNUSED_PINS "AS INPUT TRI-STATED WITH WEAK PULL-UP"
67
 
68
# #set_location_assignment PIN_B20 -to AVRAD[0]
69
# #set_location_assignment PIN_A20 -to AVRAD[1]
70
# #set_location_assignment PIN_B19 -to AVRAD[2]
71
# #set_location_assignment PIN_A19 -to AVRAD[3]
72
# #set_location_assignment PIN_B18 -to AVRAD[4]
73
# #set_location_assignment PIN_A18 -to AVRAD[5]
74
# #set_location_assignment PIN_B17 -to AVRAD[6]
75
# #set_location_assignment PIN_A17 -to AVRAD[7]
76
# #set_location_assignment PIN_A11 -to AVRADR[8]
77
# #set_location_assignment PIN_A13 -to AVRADR[9]
78
# #set_location_assignment PIN_B13 -to AVRADR[10]
79
# #set_location_assignment PIN_A14 -to AVRADR[11]
80
# #set_location_assignment PIN_B14 -to AVRADR[12]
81
# #set_location_assignment PIN_A15 -to AVRADR[13]
82
# #set_location_assignment PIN_B15 -to AVRADR[14]
83
# #set_location_assignment PIN_A16 -to AVRADR[15]
84
# #set_location_assignment PIN_B16 -to AVRALE
85
# #set_location_assignment PIN_E15 -to AVRIRQ
86
# #set_location_assignment PIN_B11 -to AVRRD
87
# #set_location_assignment PIN_A10 -to AVRWR
88
# #set_location_assignment PIN_C21 -to BCLK
89
# #set_location_assignment PIN_D22 -to DIN
90
# #set_location_assignment PIN_E22 -to DOUT
91
# #set_location_assignment PIN_D21 -to LRCIN
92
# #set_location_assignment PIN_E21 -to LRCOUT
93
# #set_location_assignment PIN_E19 -to MCLK
94
# set_location_assignment PIN_A12 -to iClk
95
# #set_location_assignment PIN_AB11 -to iClk
96
# #set_location_assignment PIN_C22 -to CS
97
# set_location_assignment PIN_AB5 -to inKey1
98
# set_location_assignment PIN_AA5 -to inKey2
99
# set_location_assignment PIN_AB4 -to inKey3
100
# set_location_assignment PIN_AA4 -to inKey4
101
# set_location_assignment PIN_AB3 -to inKey5
102
# set_location_assignment PIN_AA3 -to inKey6
103
# set_location_assignment PIN_Y6 -to oSeg0
104
# set_location_assignment PIN_W5 -to oSeg1
105
# set_location_assignment PIN_Y5 -to oSeg2
106
# set_location_assignment PIN_Y7 -to oSeg3
107
# set_location_assignment PIN_V8 -to oSeg4
108
# set_location_assignment PIN_W8 -to oSeg5
109
# set_location_assignment PIN_Y9 -to oSeg6
110
# set_location_assignment PIN_W7 -to oSeg7
111
# set_location_assignment PIN_W4 -to oDIGIT_ADR_A
112
# set_location_assignment PIN_Y4 -to oDIGIT_ADR_B
113
# set_location_assignment PIN_Y3 -to oDIGIT_ADR_C
114
# #set_location_assignment PIN_B4 -to Txd232
115
# set_location_assignment PIN_Y20 -to inResetAsync
116
# #set_location_assignment PIN_D5 -to Ps2Clk1
117
# #set_location_assignment PIN_E7 -to Ps2Clk2
118
# #set_location_assignment PIN_D4 -to Ps2Dat1
119
# #set_location_assignment PIN_C4 -to Ps2Dat2
120
# #set_location_assignment PIN_A4 -to Rxd232
121
# #set_location_assignment PIN_W14 -to VgaBl0
122
# #set_location_assignment PIN_Y14 -to VgaBl1
123
# #set_location_assignment PIN_Y16 -to VgaGr0
124
# #set_location_assignment PIN_W15 -to VgaGr1
125
# #set_location_assignment PIN_V14 -to VgaHsync
126
# #set_location_assignment PIN_Y17 -to VgaRd0
127
# #set_location_assignment PIN_W16 -to VgaRd1
128
# #set_location_assignment PIN_AA6 -to VgaVsync
129
# #set_location_assignment PIN_C19 -to SCLK
130
# #set_location_assignment PIN_C20 -to SDIN
131
# #set_location_assignment PIN_AB7 -to mcoll_pad_i
132
# #set_location_assignment PIN_AA7 -to mcrs_pad_i
133
# #set_location_assignment PIN_W12 -to mrx_clk_pad_i
134
# #set_location_assignment PIN_AA14 -to mrxd_pad_i[0]
135
# #set_location_assignment PIN_AB15 -to mrxd_pad_i[1]
136
# #set_location_assignment PIN_AA15 -to mrxd_pad_i[2]
137
# #set_location_assignment PIN_AB16 -to mrxd_pad_i[3]
138
# #set_location_assignment PIN_AB13 -to mrxdv_pad_i
139
# #set_location_assignment PIN_AA13 -to mrxerr_pad_i
140
# #set_location_assignment PIN_V12 -to mtx_clk_pad_i
141
# #set_location_assignment PIN_AB9 -to mtxd_pad_o[0]
142
# #set_location_assignment PIN_AA9 -to mtxd_pad_o[1]
143
# #set_location_assignment PIN_AB8 -to mtxd_pad_o[2]
144
# #set_location_assignment PIN_AA8 -to mtxd_pad_o[3]
145
# #set_location_assignment PIN_AB12 -to mtxen_pad_o
146
# #set_location_assignment PIN_AB14 -to mtxerr_pad_o
147
# #set_location_assignment PIN_AB6 -to ETH_Reset_o
148
# #set_location_assignment PIN_AB17 -to md_io
149
# #set_location_assignment PIN_AA16 -to mdc_o
150
#
151
# set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to inKey1
152
# set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to inKey2
153
# set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to inKey3
154
# set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to inKey4
155
# set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to inKey5
156
# set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to inKey6
157 85 rkastl
# set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.