OpenCores
URL https://opencores.org/ocsvn/sdhc-sc-core/sdhc-sc-core/trunk

Subversion Repositories sdhc-sc-core

[/] [sdhc-sc-core/] [trunk/] [grpSd/] [unitTbdSd/] [syn/] [TbdSdSyn.sdc] - Blame information for rev 185

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 169 rkastl
## Generated SDC file "TbdSdSyn.sdc"
2
 
3
## Copyright (C) 1991-2010 Altera Corporation
4
## Your use of Altera Corporation's design tools, logic functions
5
## and other software and tools, and its AMPP partner logic
6
## functions, and any output files from any of the foregoing
7
## (including device programming or simulation files), and any
8
## associated documentation or information are expressly subject
9
## to the terms and conditions of the Altera Program License
10
## Subscription Agreement, Altera MegaCore Function License
11
## Agreement, or other applicable license agreement, including,
12
## without limitation, that your use is for the sole purpose of
13
## programming logic devices manufactured by Altera and sold by
14
## Altera or its authorized distributors.  Please refer to the
15
## applicable agreement for further details.
16
 
17
 
18
## VENDOR  "Altera"
19
## PROGRAM "Quartus II"
20
## VERSION "Version 9.1 Build 350 03/24/2010 Service Pack 2 SJ Web Edition"
21
 
22
## DATE    "Thu Oct 21 20:31:30 2010"
23
 
24
##
25
## DEVICE  "EP2C35F484C8"
26
##
27
 
28
 
29
#**************************************************************
30
# Time Information
31
#**************************************************************
32
 
33
set_time_format -unit ns -decimal_places 3
34
 
35
 
36
 
37
#**************************************************************
38
# Create Clock
39
#**************************************************************
40
 
41
create_clock -name {iClk} -period 10.000 -waveform { 0.000 5.000 } [get_ports {iClk}]
42
 
43
 
44
#**************************************************************
45
# Create Generated Clock
46
#**************************************************************
47
 
48
 
49
 
50
#**************************************************************
51
# Set Clock Latency
52
#**************************************************************
53
 
54
 
55
 
56
#**************************************************************
57
# Set Clock Uncertainty
58
#**************************************************************
59
 
60
 
61
 
62
#**************************************************************
63
# Set Input Delay
64
#**************************************************************
65
 
66
 
67
 
68
#**************************************************************
69
# Set Output Delay
70
#**************************************************************
71
 
72
 
73
 
74
#**************************************************************
75
# Set Clock Groups
76
#**************************************************************
77
 
78
 
79
 
80
#**************************************************************
81
# Set False Path
82
#**************************************************************
83
 
84
set_false_path -from [get_keepers {*rdptr_g*}] -to [get_keepers {*ws_dgrp|dffpipe_b09:dffpipe20|dffe21a*}]
85
set_false_path -from [get_keepers {*delayed_wrptr_g*}] -to [get_keepers {*rs_dgwp|dffpipe_a09:dffpipe17|dffe18a*}]
86
 
87
 
88
#**************************************************************
89
# Set Multicycle Path
90
#**************************************************************
91
 
92
 
93
 
94
#**************************************************************
95
# Set Maximum Delay
96
#**************************************************************
97
 
98
 
99
 
100
#**************************************************************
101
# Set Minimum Delay
102
#**************************************************************
103
 
104
 
105
 
106
#**************************************************************
107
# Set Input Transition
108
#**************************************************************
109
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.