OpenCores
URL https://opencores.org/ocsvn/sdhc-sc-core/sdhc-sc-core/trunk

Subversion Repositories sdhc-sc-core

[/] [sdhc-sc-core/] [trunk/] [grpSdVerification/] [unitSdCardModel/] [sim/] [modelsim.ini] - Blame information for rev 185

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 44 rkastl
; Copyright 1991-2009 Mentor Graphics Corporation
2
;
3
; All Rights Reserved.
4
;
5
; THIS WORK CONTAINS TRADE SECRET AND PROPRIETARY INFORMATION WHICH IS THE PROPERTY OF
6
; MENTOR GRAPHICS CORPORATION OR ITS LICENSORS AND IS SUBJECT TO LICENSE TERMS.
7
;
8
 
9
[Library]
10
others = $MODEL_TECH/../modelsim.ini
11
;vhdl_psl_checkers = $MODEL_TECH/../vhdl_psl_checkers       // Source files only for this release
12
;verilog_psl_checkers = $MODEL_TECH/../verilog_psl_checkers // Source files only for this release
13
;mvc_lib = $MODEL_TECH/../mvc_lib
14
 
15
work = work
16
[vcom]
17
; VHDL93 variable selects language version as the default.
18
; Default is VHDL-2002.
19
; Value of 0 or 1987 for VHDL-1987.
20
; Value of 1 or 1993 for VHDL-1993.
21
; Default or value of 2 or 2002 for VHDL-2002.
22
; Value of 3 or 2008 for VHDL-2008
23
VHDL93 = 2002
24
 
25
; Show source line containing error. Default is off.
26
; Show_source = 1
27
 
28
; Turn off unbound-component warnings. Default is on.
29
; Show_Warning1 = 0
30
 
31
; Turn off process-without-a-wait-statement warnings. Default is on.
32
; Show_Warning2 = 0
33
 
34
; Turn off null-range warnings. Default is on.
35
; Show_Warning3 = 0
36
 
37
; Turn off no-space-in-time-literal warnings. Default is on.
38
; Show_Warning4 = 0
39
 
40
; Turn off multiple-drivers-on-unresolved-signal warnings. Default is on.
41
; Show_Warning5 = 0
42
 
43
; Turn off optimization for IEEE std_logic_1164 package. Default is on.
44
; Optimize_1164 = 0
45
 
46
; Turn on resolving of ambiguous function overloading in favor of the
47
; "explicit" function declaration (not the one automatically created by
48
; the compiler for each type declaration). Default is off.
49
; The .ini file has Explicit enabled so that std_logic_signed/unsigned
50
; will match the behavior of synthesis tools.
51
Explicit = 1
52
 
53
; Turn off acceleration of the VITAL packages. Default is to accelerate.
54
; NoVital = 1
55
 
56
; Turn off VITAL compliance checking. Default is checking on.
57
; NoVitalCheck = 1
58
 
59
; Ignore VITAL compliance checking errors. Default is to not ignore.
60
; IgnoreVitalErrors = 1
61
 
62
; Turn off VITAL compliance checking warnings. Default is to show warnings.
63
; Show_VitalChecksWarnings = 0
64
 
65
; Turn off PSL assertion warning messages. Default is to show warnings.
66
; Show_PslChecksWarnings = 0
67
 
68
; Enable parsing of embedded PSL assertions. Default is enabled.
69
; EmbeddedPsl = 0
70
 
71
; Keep silent about case statement static warnings.
72
; Default is to give a warning.
73
; NoCaseStaticError = 1
74
 
75
; Keep silent about warnings caused by aggregates that are not locally static.
76
; Default is to give a warning.
77
; NoOthersStaticError = 1
78
 
79
; Treat as errors:
80
;   case statement static warnings
81
;   warnings caused by aggregates that are not locally static
82
; Overrides NoCaseStaticError, NoOthersStaticError settings.
83
; PedanticErrors = 1
84
 
85
; Turn off inclusion of debugging info within design units.
86
; Default is to include debugging info.
87
; NoDebug = 1
88
 
89
; Turn off "Loading..." messages. Default is messages on.
90
; Quiet = 1
91
 
92
; Turn on some limited synthesis rule compliance checking. Checks only:
93
;    -- signals used (read) by a process must be in the sensitivity list
94
; CheckSynthesis = 1
95
 
96
; Activate optimizations on expressions that do not involve signals,
97
; waits, or function/procedure/task invocations. Default is off.
98
; ScalarOpts = 1
99
 
100
; Turns on lint-style checking.
101
; Show_Lint = 1
102
 
103
; Require the user to specify a configuration for all bindings,
104
; and do not generate a compile time default binding for the
105
; component. This will result in an elaboration error of
106
; 'component not bound' if the user fails to do so. Avoids the rare
107
; issue of a false dependency upon the unused default binding.
108
; RequireConfigForAllDefaultBinding = 1
109
 
110
; Perform default binding at compile time.
111
; Default is to do default binding at load time.
112
; BindAtCompile = 1;
113
 
114
; Inhibit range checking on subscripts of arrays. Range checking on
115
; scalars defined with subtypes is inhibited by default.
116
; NoIndexCheck = 1
117
 
118
; Inhibit range checks on all (implicit and explicit) assignments to
119
; scalar objects defined with subtypes.
120
; NoRangeCheck = 1
121
 
122
; Run the 0-in compiler on the VHDL source files
123
; Default is off.
124
; ZeroIn = 1
125
 
126
; Set the options to be passed to the 0-in compiler.
127
; Default is "".
128
; ZeroInOptions = ""
129
 
130
; Turn on code coverage in VHDL design units. Default is off.
131
; Coverage = sbceft
132
 
133
; Turn off code coverage in VHDL subprograms. Default is on.
134
; CoverageSub = 0
135
 
136
; Automatically exclude VHDL case statement default branches.
137
; Default is to not exclude.
138
; CoverExcludeDefault = 1
139
 
140
; Control compiler and VOPT optimizations that are allowed when
141
; code coverage is on.  Refer to the comment for this in the [vlog] area.
142
; CoverOpt = 3
143
 
144
; Inform code coverage optimizations to respect VHDL 'H' and 'L'
145
; values on signals in conditions and expressions, and to not automatically
146
; convert them to '1' and '0'. Default is to not convert.
147
; CoverRespectHandL = 0
148
 
149
; Increase or decrease the maximum number of rows allowed in a UDP table
150
; implementing a VHDL condition coverage or expression coverage expression.
151
; More rows leads to a longer compile time, but more expressions covered.
152
; CoverMaxUDPRows = 192
153
 
154
; Increase or decrease the maximum number of input patterns that are present
155
; in FEC table. This leads to a longer compile time with more expressions
156
; covered with FEC metric.
157
; CoverMaxFECRows = 192
158
 
159
; Enable or disable Focused Expression Coverage analysis for conditions and
160
; expressions. Focused Expression Coverage data is provided by default when
161
; expression and/or condition coverage is active.
162
; CoverFEC = 0
163
 
164
; Enable or disable short circuit evaluation of conditions and expressions when
165
; condition or expression coverage is active. Short circuit evaluation is enabled
166
; by default.
167
; CoverShortCircuit = 0
168
 
169
; Use this directory for compiler temporary files instead of "work/_temp"
170
; CompilerTempDir = /tmp
171
 
172
; Add VHDL-AMS declarations to package STANDARD
173
; Default is not to add
174
; AmsStandard = 1
175
 
176
; Range and length checking will be performed on array indices and discrete
177
; ranges, and when violations are found within subprograms, errors will be
178
; reported. Default is to issue warnings for violations, because subprograms
179
; may not be invoked.
180
; NoDeferSubpgmCheck = 0
181
 
182
; Turn off detection of FSMs having single bit current state variable.
183
; FsmSingle = 0
184
 
185
; Turn off reset state transitions in FSM.
186
; FsmResetTrans = 0
187
 
188
NoDebug = 0
189
CheckSynthesis = 0
190
NoVitalCheck = 0
191
Optimize_1164 = 1
192
NoVital = 0
193
Quiet = 0
194
Show_source = 0
195
DisableOpt = 0
196
ZeroIn = 0
197
CoverageNoSub = 0
198
NoCoverage = 1
199
CoverCells = 0
200
CoverExcludeDefault = 0
201
CoverageFEC = 1
202
CoverageShortCircuit = 0
203
CoverOpt = 3
204
Show_Warning1 = 1
205
Show_Warning2 = 1
206
Show_Warning3 = 1
207
Show_Warning4 = 1
208
Show_Warning5 = 1
209
[vlog]
210
; Turn off inclusion of debugging info within design units.
211
; Default is to include debugging info.
212
; NoDebug = 1
213
 
214
; Turn on `protect compiler directive processing.
215
; Default is to ignore `protect directives.
216
; Protect = 1
217
 
218
; Turn off "Loading..." messages. Default is messages on.
219
; Quiet = 1
220
 
221
; Turn on Verilog hazard checking (order-dependent accessing of global vars).
222
; Default is off.
223
; Hazard = 1
224
 
225
; Turn on converting regular Verilog identifiers to uppercase. Allows case
226
; insensitivity for module names. Default is no conversion.
227
; UpCase = 1
228
 
229
; Activate optimizations on expressions that do not involve signals,
230
; waits, or function/procedure/task invocations. Default is off.
231
; ScalarOpts = 1
232
 
233
; Turns on lint-style checking.
234
; Show_Lint = 1
235
 
236
; Show source line containing error. Default is off.
237
; Show_source = 1
238
 
239
; Turn on bad option warning. Default is off.
240
; Show_BadOptionWarning = 1
241
 
242
; Revert back to IEEE 1364-1995 syntax, default is 0 (off).
243
; vlog95compat = 1
244
 
245
; Turn off PSL warning messages. Default is to show warnings.
246
; Show_PslChecksWarnings = 0
247
 
248
; Enable parsing of embedded PSL assertions. Default is enabled.
249
; EmbeddedPsl = 0
250
 
251
; Set the threshold for automatically identifying sparse Verilog memories.
252
; A memory with depth equal to or more than the sparse memory threshold gets
253
; marked as sparse automatically, unless specified otherwise in source code
254
; or by +nosparse commandline option of vlog or vopt.
255
; The default is 1M.  (i.e. memories with depth equal
256
; to or greater than 1M are marked as sparse)
257
; SparseMemThreshold = 1048576
258
 
259
; Set the maximum number of iterations permitted for a generate loop.
260
; Restricting this permits the implementation to recognize infinite
261
; generate loops.
262
; GenerateLoopIterationMax = 100000
263
 
264
; Set the maximum depth permitted for a recursive generate instantiation.
265
; Restricting this permits the implementation to recognize infinite
266
; recursions.
267
; GenerateRecursionDepthMax = 200
268
 
269
; Run the 0-in compiler on the Verilog source files
270
; Default is off.
271
; ZeroIn = 1
272
 
273
; Set the options to be passed to the 0-in compiler.
274
; Default is "".
275
; ZeroInOptions = ""
276
 
277
; Set the option to treat all files specified in a vlog invocation as a
278
; single compilation unit. The default value is set to 0 which will treat
279
; each file as a separate compilation unit as specified in the P1800 draft standard.
280
; MultiFileCompilationUnit = 1
281
 
282
; Turn on code coverage in Verilog design units. Default is off.
283
; Coverage = sbceft
284
 
285
; Automatically exclude Verilog case statement default branches.
286
; Default is to not automatically exclude defaults.
287
; CoverExcludeDefault = 1
288
 
289
; Increase or decrease the maximum number of rows allowed in a UDP table
290
; implementing a Verilog condition coverage or expression coverage expression.
291
; More rows leads to a longer compile time, but more expressions covered.
292
; CoverMaxUDPRows = 192
293
 
294
; Increase or decrease the maximum number of input patterns that are present
295
; in FEC table. This leads to a longer compile time with more expressions
296
; covered with FEC metric.
297
; CoverMaxFECRows = 192
298
 
299
; Enable or disable Focused Expression Coverage analysis for conditions and
300
; expressions. Focused Expression Coverage data is provided by default when
301
; expression and/or condition coverage is active.
302
; CoverFEC = 0
303
 
304
; Enable or disable short circuit evaluation of conditions and expressions when
305
; condition or expression coverage is active. Short circuit evaluation is enabled
306
; by default.
307
; CoverShortCircuit = 0
308
 
309
 
310
; Turn on code coverage in VLOG `celldefine modules and modules included
311
; using vlog -v and -y. Default is off.
312
; CoverCells = 1
313
 
314
; Control compiler and VOPT optimizations that are allowed when
315
; code coverage is on. This is a number from 1 to 4, with the following
316
; meanings (the default is 3):
317
;    1 -- Turn off all optimizations that affect coverage reports.
318
;    2 -- Allow optimizations that allow large performance improvements
319
;         by invoking sequential processes only when the data changes.
320
;         This may make major reductions in coverage counts.
321
;    3 -- In addition, allow optimizations that may change expressions or
322
;         remove some statements. Allow constant propagation. Allow VHDL
323
;         subprogram inlining and VHDL FF recognition.
324
;    4 -- In addition, allow optimizations that may remove major regions of
325
;         code by changing assignments to built-ins or removing unused
326
;         signals. Change Verilog gates to continuous assignments.
327
; CoverOpt = 3
328
 
329
; Specify the override for the default value of "cross_num_print_missing"
330
; option for the Cross in Covergroups. If not specified then LRM default
331
; value of 0 (zero) is used. This is a compile time option.
332
; SVCrossNumPrintMissingDefault = 0
333
 
334
; Setting following to 1 would cause creation of variables which
335
; would represent the value of Coverpoint expressions. This is used
336
; in conjunction with "SVCoverpointExprVariablePrefix" option
337
; in the modelsim.ini
338
; EnableSVCoverpointExprVariable = 0
339
 
340
; Specify the override for the prefix used in forming the variable names
341
; which represent the Coverpoint expressions. This is used in conjunction with
342
; "EnableSVCoverpointExprVariable" option of the modelsim.ini
343
; The default prefix is "expr".
344
; The variable name is
345
;    variable name => _
346
; SVCoverpointExprVariablePrefix = expr
347
 
348
; Override for the default value of the SystemVerilog covergroup,
349
; coverpoint, and cross option.goal (defined to be 100 in the LRM).
350
; NOTE: It does not override specific assignments in SystemVerilog
351
; source code. NOTE: The modelsim.ini variable "SVCovergroupGoal"
352
; in the [vsim] section can override this value.
353
; SVCovergroupGoalDefault = 100
354
 
355
; Override for the default value of the SystemVerilog covergroup,
356
; coverpoint, and cross type_option.goal (defined to be 100 in the LRM)
357
; NOTE: It does not override specific assignments in SystemVerilog
358
; source code. NOTE: The modelsim.ini variable "SVCovergroupTypeGoal"
359
; in the [vsim] section can override this value.
360
; SVCovergroupTypeGoalDefault = 100
361
 
362
; Specify the override for the default value of "strobe" option for the
363
; Covergroup Type. This is a compile time option which forces "strobe" to
364
; a user specified default value and supersedes SystemVerilog specified
365
; default value of '0'(zero). NOTE: This can be overriden by a runtime
366
; modelsim.ini variable "SVCovergroupStrobe" in the [vsim] section.
367
; SVCovergroupStrobeDefault = 0
368
 
369
; Specify the override for the default value of "merge_instances" option for
370
; the Covergroup Type. This is a compile time option which forces
371
; "merge_instances" to a user specified default value and supersedes
372
; SystemVerilog specified default value of '0'(zero).
373
; SVCovergroupMergeInstancesDefault = 0
374
 
375
; Specify the override for the default value of "per_instance" option for the
376
; Covergroup variables. This is a compile time option which forces "per_instance"
377
; to a user specified default value and supersedes SystemVerilog specified
378
; default value of '0'(zero).
379
; SVCovergroupPerInstanceDefault = 0
380
 
381
; Specify the override for the default value of "get_inst_coverage" option for the
382
; Covergroup variables. This is a compile time option which forces
383
; "get_inst_coverage" to a user specified default value and supersedes
384
; SystemVerilog specified default value of '0'(zero).
385
; SVCovergroupGetInstCoverageDefault = 0
386
 
387
;
388
; A space separated list of resource libraries that contain precompiled
389
; packages.  The behavior is identical to using the "-L" switch.
390
;
391
; LibrarySearchPath =  [ ...]
392
LibrarySearchPath = mtiAvm mtiOvm mtiUPF
393
 
394
; The behavior is identical to the "-mixedansiports" switch.  Default is off.
395
; MixedAnsiPorts = 1
396
 
397
; Enable SystemVerilog 3.1a $typeof() function. Default is off.
398
; EnableTypeOf = 1
399
 
400
; Only allow lower case pragmas. Default is disabled.
401
; AcceptLowerCasePragmaOnly = 1
402
 
403
; Set the maximum depth permitted for a recursive include file nesting.
404
; IncludeRecursionDepthMax = 5
405
 
406
; Turn off detection of FSMs having single bit current state variable.
407
; FsmSingle = 0
408
 
409
; Turn off reset state transitions in FSM.
410
; FsmResetTrans = 0
411
 
412
; Turn off detections of FSMs having x-assignment.
413
; FsmXAssign = 0
414
 
415
; List of file suffixes which will be read as SystemVerilog.  White space
416
; in extensions can be specified with a back-slash: "\ ".  Back-slashes
417
; can be specified with two consecutive back-slashes: "\\";
418
; SVFileExtensions = sv svp svh
419
 
420
; This setting is the same as the vlog -sv command line switch.
421
; Enables SystemVerilog features and keywords when true (1).
422
; When false (0), the rules of IEEE Std 1364-2001 are followed and
423
; SystemVerilog keywords are ignored.
424
; Svlog = 0
425
 
426
; Prints attribute placed upon SV packages during package import
427
; when true (1).  The attribute will be ignored when this
428
; entry is false (0). The attribute name is "package_load_message".
429
; The value of this attribute is a string literal.
430
; Default is true (1).
431
; PrintSVPackageLoadingAttribute = 1
432
 
433
vlog95compat = 0
434
Vlog01Compat = 0
435
Svlog = 0
436
CoverCells = 0
437
CoverExcludeDefault = 0
438
CoverageFEC = 0
439
CoverageShortCircuit = 0
440
CoverOpt = 3
441
OptionFile = /home/draugdel/SD-CORE/src/grpSd/unitSdCmd/sim/vlog.opt
442
Quiet = 0
443
Show_source = 0
444
Protect = 0
445
NoDebug = 0
446
Hazard = 0
447
UpCase = 0
448
DisableOpt = 0
449
ZeroIn = 0
450
[sccom]
451
; Enable use of SCV include files and library.  Default is off.
452
; UseScv = 1
453
 
454
; Add C++ compiler options to the sccom command line by using this variable.
455
; CppOptions = -g
456
 
457
; Use custom C++ compiler located at this path rather than the default path.
458
; The path should point directly at a compiler executable.
459
; CppPath = /usr/bin/g++
460
 
461
; Enable verbose messages from sccom.  Default is off.
462
; SccomVerbose = 1
463
 
464
; sccom logfile.  Default is no logfile.
465
; SccomLogfile = sccom.log
466
 
467
; Enable use of SC_MS include files and library.  Default is off.
468
; UseScMs = 1
469
 
470
UseScv = 0
471
UseScMs = 0
472
CppOptions =
473
SccomVerbose = 0
474
[vopt]
475
; Turn on code coverage in vopt.  Default is off.
476
; Coverage = sbceft
477
 
478
; Control compiler optimizations that are allowed when
479
; code coverage is on.  Refer to the comment for this in the [vlog] area.
480
; CoverOpt = 3
481
 
482
; Increase or decrease the maximum number of rows allowed in a UDP table
483
; implementing a vopt condition coverage or expression coverage expression.
484
; More rows leads to a longer compile time, but more expressions covered.
485
; CoverMaxUDPRows = 192
486
 
487
; Increase or decrease the maximum number of input patterns that are present
488
; in FEC table. This leads to a longer compile time with more expressions
489
; covered with FEC metric.
490
; CoverMaxFECRows = 192
491
 
492
[vsim]
493
; vopt flow
494
; Set to turn on automatic optimization of a design.
495
; Default is on
496
VoptFlow = 1
497
 
498
; vopt automatic SDF
499
; If automatic design optimization is on, enables automatic compilation
500
; of SDF files.
501
; Default is on, uncomment to turn off.
502
; VoptAutoSDFCompile = 0
503
 
504
; Automatic SDF compilation
505
; Disables automatic compilation of SDF files in flows that support it.
506
; Default is on, uncomment to turn off.
507
; NoAutoSDFCompile = 1
508
 
509
; Simulator resolution
510
; Set to fs, ps, ns, us, ms, or sec with optional prefix of 1, 10, or 100.
511
Resolution = ns
512
 
513
; Disable certain code coverage exclusions automatically.
514
; Assertions and FSM are exluded from the code coverage by default
515
; Set AutoExclusionsDisable = fsm to enable code coverage for fsm
516
; Set AutoExclusionsDisable = assertions to enable code coverage for assertions
517
; Set AutoExclusionsDisable = all to enable code coverage for all the automatic exclusions
518
; Or specify comma or space separated list
519
;AutoExclusionsDisable = fsm,assertions
520
 
521
; User time unit for run commands
522
; Set to default, fs, ps, ns, us, ms, or sec. The default is to use the
523
; unit specified for Resolution. For example, if Resolution is 100ps,
524
; then UserTimeUnit defaults to ps.
525
; Should generally be set to default.
526
UserTimeUnit = default
527
 
528
; Default run length
529
RunLength = 100
530
 
531
; Maximum iterations that can be run without advancing simulation time
532
IterationLimit = 5000
533
 
534
; Control PSL and Verilog Assume directives during simulation
535
; Set SimulateAssumeDirectives = 0 to disable assume being simulated as asserts
536
; Set SimulateAssumeDirectives = 1 to enable assume simulation as asserts
537
; SimulateAssumeDirectives = 1
538
 
539
; Control the simulation of PSL and SVA
540
; These switches can be overridden by the vsim command line switches:
541
;    -psl, -nopsl, -sva, -nosva.
542
; Set SimulatePSL = 0 to disable PSL simulation
543
; Set SimulatePSL = 1 to enable PSL simulation (default)
544
; SimulatePSL = 1
545
; Set SimulateSVA = 0 to disable SVA simulation
546
; Set SimulateSVA = 1 to enable concurrent SVA simulation (default)
547
; SimulateSVA = 1
548
 
549
; Directives to license manager can be set either as single value or as
550
; space separated multi-values:
551
; vhdl          Immediately reserve a VHDL license
552
; vlog          Immediately reserve a Verilog license
553
; plus          Immediately reserve a VHDL and Verilog license
554
; nomgc         Do not look for Mentor Graphics Licenses
555
; nomti         Do not look for Model Technology Licenses
556
; noqueue       Do not wait in the license queue when a license is not available
557
; viewsim       Try for viewer license but accept simulator license(s) instead
558
;               of queuing for viewer license (PE ONLY)
559
; noviewer      Disable checkout of msimviewer and vsim-viewer license
560
;               features (PE ONLY)
561
; noslvhdl      Disable checkout of qhsimvh and vsim license features
562
; noslvlog      Disable checkout of qhsimvl and vsimvlog license features
563
; nomix         Disable checkout of msimhdlmix and hdlmix license features
564
; nolnl         Disable checkout of msimhdlsim and hdlsim license features
565
; mixedonly     Disable checkout of qhsimvh,qhsimvl,vsim,vsimvlog license
566
;               features
567
; lnlonly       Disable checkout of qhsimvh,qhsimvl,vsim,vsimvlog,msimhdlmix,
568
;               hdlmix license features
569
; Single value:
570
; License = plus
571
; Multi-value:
572
; License = noqueue plus
573
 
574
; Stop the simulator after a VHDL/Verilog immediate assertion message
575
; 0 = Note  1 = Warning  2 = Error  3 = Failure  4 = Fatal
576
BreakOnAssertion = 2
577
 
578
; VHDL assertion Message Format
579
; %S - Severity Level
580
; %R - Report Message
581
; %T - Time of assertion
582
; %D - Delta
583
; %I - Instance or Region pathname (if available)
584
; %i - Instance pathname with process
585
; %O - Process name
586
; %K - Kind of object path is to return: Instance, Signal, Process or Unknown
587
; %P - Instance or Region path without leaf process
588
; %F - File
589
; %L - Line number of assertion or, if assertion is in a subprogram, line
590
;      from which the call is made
591
; %% - Print '%' character
592
; If specific format for assertion level is defined, use its format.
593
; If specific format is not defined for assertion level:
594
; - and if failure occurs during elaboration, use MessageFormatBreakLine;
595
; - and if assertion triggers a breakpoint (controlled by BreakOnAssertion
596
;   level), use MessageFormatBreak;
597
; - otherwise, use MessageFormat.
598
; MessageFormatBreakLine = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F Line: %L\n"
599
; MessageFormatBreak     = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F\n"
600
; MessageFormat          = "** %S: %R\n   Time: %T  Iteration: %D%I\n"
601
; MessageFormatNote      = "** %S: %R\n   Time: %T  Iteration: %D%I\n"
602
; MessageFormatWarning   = "** %S: %R\n   Time: %T  Iteration: %D%I\n"
603
; MessageFormatError     = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F\n"
604
; MessageFormatFail      = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F\n"
605
; MessageFormatFatal     = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F\n"
606
 
607
; Error File - alternate file for storing error messages
608
; ErrorFile = error.log
609
 
610
 
611
; Simulation Breakpoint messages
612
; This flag controls the display of function names when reporting the location
613
; where the simulator stops do to a breakpoint or fatal error.
614
; Example w/function name:  # Break in Process ctr at counter.vhd line 44
615
; Example wo/function name: # Break at counter.vhd line 44
616
ShowFunctions = 1
617
 
618
; Default radix for all windows and commands.
619
; Set to symbolic, ascii, binary, octal, decimal, hex, unsigned
620
DefaultRadix = symbolic
621
 
622
; VSIM Startup command
623
; Startup = do startup.do
624
 
625
; VSIM Shutdown file
626
; Filename to save u/i formats and configurations.
627
; ShutdownFile = restart.do
628
; To explicitly disable auto save:
629
; ShutdownFile = --disable-auto-save
630
 
631
; File for saving command transcript
632
TranscriptFile = transcript
633
 
634
; File for saving command history
635
; CommandHistory = cmdhist.log
636
 
637
; Specify whether paths in simulator commands should be described
638
; in VHDL or Verilog format.
639
; For VHDL, PathSeparator = /
640
; For Verilog, PathSeparator = .
641
; Must not be the same character as DatasetSeparator.
642
PathSeparator = /
643
 
644
; Specify the dataset separator for fully rooted contexts.
645
; The default is ':'. For example: sim:/top
646
; Must not be the same character as PathSeparator.
647
DatasetSeparator = :
648
 
649
; Specify a unique path separator for the Signal Spy set of functions.
650
; The default will be to use the PathSeparator variable.
651
; Must not be the same character as DatasetSeparator.
652
; SignalSpyPathSeparator = /
653
 
654
; Used to control parsing of HDL identifiers input to the tool.
655
; This includes CLI commands, vsim/vopt/vlog/vcom options,
656
; string arguments to FLI/VPI/DPI calls, etc.
657
; If set to 1, accept either Verilog escaped Id syntax or
658
; VHDL extended id syntax, regardless of source language.
659
; If set to 0, the syntax of the source language must be used.
660
; Each identifier in a hierarchical name may need different syntax,
661
; e.g. "/top/\vhdl*ext*id\/middle/\vlog*ext*id /bottom" or
662
;       "top.\vhdl*ext*id\.middle.\vlog*ext*id .bottom"
663
; GenerousIdentifierParsing = 1
664
 
665
; Disable VHDL assertion messages
666
; IgnoreNote = 1
667
; IgnoreWarning = 1
668
; IgnoreError = 1
669
; IgnoreFailure = 1
670
 
671
; Disable System Verilog assertion messages
672
; IgnoreSVAInfo = 1
673
; IgnoreSVAWarning = 1
674
; IgnoreSVAError = 1
675
; IgnoreSVAFatal = 1
676
 
677
; Do not print any additional information from Severity System tasks.
678
; Only the message provided by the user is printed along with severity
679
; information.
680
; SVAPrintOnlyUserMessage = 1;
681
 
682
; Default force kind. May be freeze, drive, deposit, or default
683
; or in other terms, fixed, wired, or charged.
684
; A value of "default" will use the signal kind to determine the
685
; force kind, drive for resolved signals, freeze for unresolved signals
686
; DefaultForceKind = freeze
687
 
688
; If zero, open files when elaborated; otherwise, open files on
689
; first read or write.  Default is 0.
690
; DelayFileOpen = 1
691
 
692
; Control VHDL files opened for write.
693
;   0 = Buffered, 1 = Unbuffered
694
UnbufferedOutput = 0
695
 
696
; Control the number of VHDL files open concurrently.
697
; This number should always be less than the current ulimit
698
; setting for max file descriptors.
699
;   0 = unlimited
700
ConcurrentFileLimit = 40
701
 
702
; Control the number of hierarchical regions displayed as
703
; part of a signal name shown in the Wave window.
704
; A value of zero tells VSIM to display the full name.
705
; The default is 0.
706
; WaveSignalNameWidth = 0
707
 
708
; Turn off warnings when changing VHDL constants and generics
709
; Default is 1 to generate warning messages
710
; WarnConstantChange = 0
711
 
712
; Turn off warnings from the std_logic_arith, std_logic_unsigned
713
; and std_logic_signed packages.
714
; StdArithNoWarnings = 1
715
 
716
; Turn off warnings from the IEEE numeric_std and numeric_bit packages.
717
; NumericStdNoWarnings = 1
718
 
719
; Control the format of the (VHDL) FOR generate statement label
720
; for each iteration.  Do not quote it.
721
; The format string here must contain the conversion codes %s and %d,
722
; in that order, and no other conversion codes.  The %s represents
723
; the generate_label; the %d represents the generate parameter value
724
; at a particular generate iteration (this is the position number if
725
; the generate parameter is of an enumeration type).  Embedded whitespace
726
; is allowed (but discouraged); leading and trailing whitespace is ignored.
727
; Application of the format must result in a unique scope name over all
728
; such names in the design so that name lookup can function properly.
729
; GenerateFormat = %s__%d
730
 
731
; Specify whether checkpoint files should be compressed.
732
; The default is 1 (compressed).
733
; CheckpointCompressMode = 0
734
 
735
; Specify whether to enable SystemVerilog DPI "out-of-the-blue" calls.
736
; The term "out-of-the-blue" refers to SystemVerilog export function calls
737
; made from C functions that don't have the proper context setup
738
; (as is the case when running under "DPI-C" import functions).
739
; When this is enabled, one can call a DPI export function
740
; (but not task) from any C code.
741
; The default is 0 (disabled).
742
; DpiOutOfTheBlue = 1
743
 
744
; Specify whether continuous assignments are run before other normal priority
745
; processes scheduled in the same iteration. This event ordering minimizes race
746
; differences between optimized and non-optimized designs, and is the default
747
; behavior beginning with the 6.5 release. For pre-6.5 event ordering, set
748
; ImmediateContinuousAssign to 0.
749
; The default is 1 (enabled).
750
; ImmediateContinuousAssign = 0
751
 
752
; List of dynamically loaded objects for Verilog PLI applications
753
; Veriuser = veriuser.sl
754
 
755
; Which default VPI object model should the tool conform to?
756
; The 1364 modes are Verilog-only, for backwards compatibility with older
757
; libraries, and SystemVerilog objects are not available in these modes.
758
;
759
; In the absence of a user-specified default, the tool default is the
760
; latest available LRM behavior.
761
; Options for PliCompatDefault are:
762
;  VPI_COMPATIBILITY_VERSION_1364v1995
763
;  VPI_COMPATIBILITY_VERSION_1364v2001
764
;  VPI_COMPATIBILITY_VERSION_1364v2005
765
;  VPI_COMPATIBILITY_VERSION_1800v2005
766
;  VPI_COMPATIBILITY_VERSION_1800v2008
767
;
768
; Synonyms for each string are also recognized:
769
;  VPI_COMPATIBILITY_VERSION_1364v1995 (1995, 95, 1364v1995, 1364V1995, VL1995)
770
;  VPI_COMPATIBILITY_VERSION_1364v2001 (2001, 01, 1364v2001, 1364V2001, VL2001)
771
;  VPI_COMPATIBILITY_VERSION_1364v2005 (1364v2005, 1364V2005, VL2005)
772
;  VPI_COMPATIBILITY_VERSION_1800v2005 (2005, 05, 1800v2005, 1800V2005, SV2005)
773
;  VPI_COMPATIBILITY_VERSION_1800v2008 (2008, 08, 1800v2008, 1800V2008, SV2008)
774
 
775
 
776
; PliCompatDefault = VPI_COMPATIBILITY_VERSION_1800v2005
777
 
778
; Specify default options for the restart command. Options can be one
779
; or more of: -force -nobreakpoint -nolist -nolog -nowave -noassertions
780
; DefaultRestartOptions = -force
781
 
782
; Turn on (1) or off (0) WLF file compression.
783
; The default is 1 (compress WLF file).
784
; WLFCompress = 0
785
 
786
; Specify whether to save all design hierarchy (1) in the WLF file
787
; or only regions containing logged signals (0).
788
; The default is 0 (save only regions with logged signals).
789
; WLFSaveAllRegions = 1
790
 
791
; WLF file time limit.  Limit WLF file by time, as closely as possible,
792
; to the specified amount of simulation time.  When the limit is exceeded
793
; the earliest times get truncated from the file.
794
; If both time and size limits are specified the most restrictive is used.
795
; UserTimeUnits are used if time units are not specified.
796
; The default is 0 (no limit).  Example: WLFTimeLimit = {100 ms}
797
; WLFTimeLimit = 0
798
 
799
; WLF file size limit.  Limit WLF file size, as closely as possible,
800
; to the specified number of megabytes.  If both time and size limits
801
; are specified then the most restrictive is used.
802
; The default is 0 (no limit).
803
; WLFSizeLimit = 1000
804
 
805
; Specify whether or not a WLF file should be deleted when the
806
; simulation ends.  A value of 1 will cause the WLF file to be deleted.
807
; The default is 0 (do not delete WLF file when simulation ends).
808
; WLFDeleteOnQuit = 1
809
 
810
; Specify whether or not a WLF file should be indexed during
811
; simulation.  If set to 0, the WLF file will not be indexed.
812
; The default is 1, indexed the WLF file.
813
; WLFIndex = 0
814
 
815
; Specify whether or not a WLF file should be optimized during
816
; simulation.  If set to 0, the WLF file will not be optimized.
817
; The default is 1, optimize the WLF file.
818
; WLFOptimize = 0
819
 
820
; Specify the name of the WLF file.
821
; The default is vsim.wlf
822
; WLFFilename = vsim.wlf
823
 
824
; Specify the WLF reader cache size limit for each open WLF file.
825
; The size is giving in megabytes.  A value of 0 turns off the
826
; WLF cache.
827
; WLFSimCacheSize allows a different cache size to be set for
828
; simulation WLF file independent of post-simulation WLF file
829
; viewing.  If WLFSimCacheSize is not set it defaults to the
830
; WLFCacheSize setting.
831
; The default WLFCacheSize setting is enabled to 256M per open WLF file.
832
; WLFCacheSize = 2000
833
; WLFSimCacheSize = 500
834
 
835
; Specify the WLF file event collapse mode.
836
; 0 = Preserve all events and event order. (same as -wlfnocollapse)
837
; 1 = Only record values of logged objects at the end of a simulator iteration.
838
;     (same as -wlfcollapsedelta)
839
; 2 = Only record values of logged objects at the end of a simulator time step.
840
;     (same as -wlfcollapsetime)
841
; The default is 1.
842
; WLFCollapseMode = 0
843
 
844
; Specify whether WLF file logging can use threads on multi-processor machines
845
; if 0, no threads will be used, if 1, threads will be used if the system has
846
; more than one processor
847
; WLFUseThreads = 1
848
 
849
; Turn on/off undebuggable SystemC type warnings. Default is on.
850
; ShowUndebuggableScTypeWarning = 0
851
 
852
; Turn on/off unassociated SystemC name warnings. Default is off.
853
; ShowUnassociatedScNameWarning = 1
854
 
855
; Turn on/off SystemC IEEE 1666 deprecation warnings. Default is off.
856
; ScShowIeeeDeprecationWarnings = 1
857
 
858
; Turn on/off the check for multiple drivers on a SystemC sc_signal. Default is off.
859
; ScEnableScSignalWriteCheck = 1
860
 
861
; Set SystemC default time unit.
862
; Set to fs, ps, ns, us, ms, or sec with optional
863
; prefix of 1, 10, or 100.  The default is 1 ns.
864
; The ScTimeUnit value is honored if it is coarser than Resolution.
865
; If ScTimeUnit is finer than Resolution, it is set to the value
866
; of Resolution. For example, if Resolution is 100ps and ScTimeUnit is ns,
867
; then the default time unit will be 1 ns.  However if Resolution
868
; is 10 ns and ScTimeUnit is ns, then the default time unit will be 10 ns.
869
ScTimeUnit = ns
870
 
871
; Set SystemC sc_main stack size. The stack size is set as an integer
872
; number followed by the unit which can be Kb(Kilo-byte), Mb(Mega-byte) or
873
; Gb(Giga-byte). Default is 10 Mb. The stack size for sc_main depends
874
; on the amount of data on the sc_main() stack and the memory required
875
; to succesfully execute the longest function call chain of sc_main().
876
ScMainStackSize = 10 Mb
877
 
878
; Turn on/off execution of remainder of sc_main upon quitting the current
879
; simulation session. If the cumulative length of sc_main() in terms of
880
; simulation time units is less than the length of the current simulation
881
; run upon quit or restart, sc_main() will be in the middle of execution.
882
; This switch gives the option to execute the remainder of sc_main upon
883
; quitting simulation. The drawback of not running sc_main till the end
884
; is memory leaks for objects created by sc_main. If on, the remainder of
885
; sc_main will be executed ignoring all delays. This may cause the simulator
886
; to crash if the code in sc_main is dependent on some simulation state.
887
; Default is on.
888
ScMainFinishOnQuit = 1
889
 
890
; Set the SCV relationship name that will be used to identify phase
891
; relations.  If the name given to a transactor relation matches this
892
; name, the transactions involved will be treated as phase transactions
893
ScvPhaseRelationName = mti_phase
894
 
895
; Customize the vsim kernel shutdown behavior at the end of the simulation.
896
; Some common causes of the end of simulation are $finish (implicit or explicit),
897
; sc_stop(), tf_dofinish(), and assertion failures.
898
; This should be set to "ask", "exit", or "stop". The default is "ask".
899
; "ask"   -- In batch mode, the vsim kernel will abruptly exit.
900
;            In GUI mode, a dialog box will pop up and ask for user confirmation
901
;            whether or not to quit the simulation.
902
; "stop"  -- Cause the simulation to stay loaded in memory. This can make some
903
;            post-simulation tasks easier.
904
; "exit"  -- The simulation will abruptly exit without asking for any confirmation.
905
; "final" -- Run SystemVerilog final blocks then behave as "stop".
906
; Note: these ini variables can be overriden by the vsim command
907
;       line switch "-onfinish ".
908
OnFinish = ask
909
 
910
; Print pending deferred assertion messages.
911
; Deferred assertion messages may be scheduled after the $finish in the same
912
; time step. Deferred assertions scheduled to print after the $finish are
913
; printed before exiting with severity level NOTE since it's not known whether
914
; the assertion is still valid due to being printed in the active region
915
; instead of the reactive region where they are normally printed.
916
; OnFinishPendingAssert = 1;
917
 
918
; Print "simstats" result at the end of simulation before shutdown.
919
; If this is enabled, the simstats result will be printed out before shutdown.
920
; The default is off.
921
; PrintSimStats = 1
922
 
923
; Assertion File - alternate file for storing VHDL/PSL/Verilog assertion messages
924
; AssertFile = assert.log
925
 
926
; Run simulator in assertion debug mode. Default is off.
927
; AssertionDebug = 1
928
 
929
; Turn on/off PSL/SVA concurrent assertion pass enable.
930
; For SVA, Default is on when the assertion has a pass action block, or
931
; the vsim -assertdebug option is used and the vopt "+acc=a" flag is active.
932
; For PSL, Default is on only when vsim switch "-assertdebug" is used
933
; and the vopt "+acc=a" flag is active.
934
; AssertionPassEnable = 0
935
 
936
; Turn on/off PSL/SVA concurrent assertion fail enable. Default is on.
937
; AssertionFailEnable = 0
938
 
939
; Set PSL/SVA concurrent assertion pass limit. Default is -1.
940
; Any positive integer, -1 for infinity.
941
; AssertionPassLimit = 1
942
 
943
; Set PSL/SVA concurrent assertion fail limit. Default is -1.
944
; Any positive integer, -1 for infinity.
945
; AssertionFailLimit = 1
946
 
947
; Turn on/off PSL concurrent assertion pass log. Default is off.
948
; The flag does not affect SVA
949
; AssertionPassLog = 1
950
 
951
; Turn on/off PSL concurrent assertion fail log. Default is on.
952
; The flag does not affect SVA
953
; AssertionFailLog = 0
954
 
955
; Turn on/off SVA concurrent assertion local var printing in -assertdebug mode.  Default is on.
956
; AssertionFailLocalVarLog = 0
957
 
958
; Set action type for PSL/SVA concurrent assertion fail action. Default is continue.
959
; 0 = Continue  1 = Break  2 = Exit
960
; AssertionFailAction = 1
961
 
962
; Enable the active thread monitor in the waveform display when assertion debug is enabled.
963
; AssertionActiveThreadMonitor = 1
964
 
965
; Control how many waveform rows will be used for displaying the active threads.  Default is 5.
966
; AssertionActiveThreadMonitorLimit = 5
967
 
968
; Control how many thread start times will be preserved for ATV viewing for a given assertion
969
; instance.  Default is -1 (ALL).
970
; ATVStartTimeKeepCount = -1
971
 
972
; Turn on/off code coverage
973
; CodeCoverage = 0
974
 
975
; Count all code coverage condition and expression truth table rows that match.
976
; CoverCountAll = 1
977
 
978
; Turn off automatic inclusion of VHDL integers in toggle coverage. Default
979
; is to include them.
980
; ToggleNoIntegers = 1
981
 
982
; Set the maximum number of values that are collected for toggle coverage of
983
; VHDL integers. Default is 100;
984
; ToggleMaxIntValues = 100
985
 
986
; Set the maximum number of values that are collected for toggle coverage of
987
; Verilog real. Default is 100;
988
; ToggleMaxRealValues = 100
989
 
990
; Turn on automatic inclusion of Verilog integers in toggle coverage, except
991
; for enumeration types. Default is to include them.
992
; ToggleVlogIntegers = 0
993
 
994
; Turn on automatic inclusion of Verilog real type in toggle coverage, except
995
; for shortreal types. Default is to not include them.
996
; ToggleVlogReal = 1
997
 
998
; Turn on automatic inclusion of Verilog fixed-size unpacked arrays in toggle coverage.
999
; Default is to not include them.
1000
; ToggleFixedSizeArray = 1
1001
 
1002
; Increase or decrease the maximum size of Verilog unpacked fixed-size arrays that
1003
; are included for toggle coverage. This leads to a longer simulation time with bigger
1004
; arrays covered with toggle coverage. Default is 1024.
1005
; ToggleMaxFixedSizeArray = 1024
1006
 
1007
; Treat packed vectors and structures as reg-vectors in toggle coverage. Default is 0.
1008
; TogglePackedAsVec = 0
1009
 
1010
; Treat Verilog enumerated types as reg-vectors in toggle coverage. Default is 0.
1011
; ToggleVlogEnumBits = 0
1012
 
1013
; Limit the widths of registers automatically tracked for toggle coverage. Default is 128.
1014
; For unlimited width, set to 0.
1015
; ToggleWidthLimit = 128
1016
 
1017
; Limit the counts that are tracked for toggle coverage. When all edges for a bit have
1018
; reached this count, further activity on the bit is ignored. Default is 1.
1019
; For unlimited counts, set to 0.
1020
; ToggleCountLimit = 1
1021
 
1022
; Turn on/off all PSL/SVA cover directive enables.  Default is on.
1023
; CoverEnable = 0
1024
 
1025
; Turn on/off PSL/SVA cover log.  Default is off.
1026
; CoverLog = 1
1027
 
1028
; Set "at_least" value for all PSL/SVA cover directives.  Default is 1.
1029
; CoverAtLeast = 2
1030
 
1031
; Set "limit" value for all PSL/SVA cover directives.  Default is -1.
1032
; Any positive integer, -1 for infinity.
1033
; CoverLimit = 1
1034
 
1035
; Specify the coverage database filename.
1036
; Default is "" (i.e. database is NOT automatically saved on close).
1037
; UCDBFilename = vsim.ucdb
1038
 
1039
; Specify the maximum limit for the number of Cross (bin) products reported
1040
; in XML and UCDB report against a Cross. A warning is issued if the limit
1041
; is crossed.
1042
; MaxReportRhsSVCrossProducts = 1000
1043
 
1044
; Specify the override for the "auto_bin_max" option for the Covergroups.
1045
; If not specified then value from Covergroup "option" is used.
1046
; SVCoverpointAutoBinMax = 64
1047
 
1048
; Specify the override for the value of "cross_num_print_missing"
1049
; option for the Cross in Covergroups. If not specified then value
1050
; specified in the "option.cross_num_print_missing" is used. This
1051
; is a runtime option. NOTE: This overrides any "cross_num_print_missing"
1052
; value specified by user in source file and any SVCrossNumPrintMissingDefault
1053
; specified in modelsim.ini.
1054
; SVCrossNumPrintMissing = 0
1055
 
1056
; Specify whether to use the value of "cross_num_print_missing"
1057
; option in report and GUI for the Cross in Covergroups. If not specified then
1058
; cross_num_print_missing is ignored for creating reports and displaying
1059
; covergroups in GUI. Default is 0, which means ignore "cross_num_print_missing".
1060
; UseSVCrossNumPrintMissing = 0
1061
 
1062
; Specify the override for the value of "strobe" option for the
1063
; Covergroup Type. If not specified then value in "type_option.strobe"
1064
; will be used. This is runtime option which forces "strobe" to
1065
; user specified value and supersedes user specified values in the
1066
; SystemVerilog Code. NOTE: This also overrides the compile time
1067
; default value override specified using "SVCovergroupStrobeDefault"
1068
; SVCovergroupStrobe = 0
1069
 
1070
; Override for explicit assignments in source code to "option.goal" of
1071
; SystemVerilog covergroup, coverpoint, and cross. It also overrides the
1072
; default value of "option.goal" (defined to be 100 in the SystemVerilog
1073
; LRM) and the value of modelsim.ini variable "SVCovergroupGoalDefault".
1074
; SVCovergroupGoal = 100
1075
 
1076
; Override for explicit assignments in source code to "type_option.goal" of
1077
; SystemVerilog covergroup, coverpoint, and cross. It also overrides the
1078
; default value of "type_option.goal" (defined to be 100 in the SystemVerilog
1079
; LRM) and the value of modelsim.ini variable "SVCovergroupTypeGoalDefault".
1080
; SVCovergroupTypeGoal = 100
1081
 
1082
; Enforce the 6.3 behavior of covergroup get_coverage() and get_inst_coverage()
1083
; builtin functions, and report. This setting changes the default values of
1084
; option.get_inst_coverage and type_option.merge_instances to ensure the 6.3
1085
; behavior if explicit assignments are not made on option.get_inst_coverage and
1086
; type_option.merge_instances by the user. There are two vsim command line
1087
; options, -cvg63 and -nocvg63 to override this setting from vsim command line.
1088
; The default value of this variable is 1
1089
; SVCovergroup63Compatibility = 1
1090
 
1091
; Enable or disable generation of more detailed information about the sampling
1092
; of covergroup, cross, and coverpoints. It provides the details of the number
1093
; of times the covergroup instance and type were sampled, as well as details
1094
; about why covergroup, cross and coverpoint were not covered. A non-zero value
1095
; is to enable this feature. 0 is to disable this feature. Default is 0
1096
; SVCovergroupSampleInfo = 0
1097
 
1098
; Specify the maximum number of Coverpoint bins in whole design for
1099
; all Covergroups.
1100
; MaxSVCoverpointBinsDesign = 2147483648
1101
 
1102
; Specify maximum number of Coverpoint bins in any instance of a Covergroup
1103
; MaxSVCoverpointBinsInst = 2147483648
1104
 
1105
; Specify the maximum number of Cross bins in whole design for
1106
; all Covergroups.
1107
; MaxSVCrossBinsDesign = 2147483648
1108
 
1109
; Specify maximum number of Cross bins in any instance of a Covergroup
1110
; MaxSVCrossBinsInst = 2147483648
1111
 
1112
; Set weight for all PSL/SVA cover directives.  Default is 1.
1113
; CoverWeight = 2
1114
 
1115
; Check vsim plusargs.  Default is 0 (off).
1116
; 0 = Don't check plusargs
1117
; 1 = Warning on unrecognized plusarg
1118
; 2 = Error and exit on unrecognized plusarg
1119
; CheckPlusargs = 1
1120
 
1121
; Load the specified shared objects with the RTLD_GLOBAL flag.
1122
; This gives global visibility to all symbols in the shared objects,
1123
; meaning that subsequently loaded shared objects can bind to symbols
1124
; in the global shared objects.  The list of shared objects should
1125
; be whitespace delimited.  This option is not supported on the
1126
; Windows or AIX platforms.
1127
; GlobalSharedObjectList = example1.so example2.so example3.so
1128
 
1129
; Run the 0in tools from within the simulator.
1130
; Default is off.
1131
; ZeroIn = 1
1132
 
1133
; Set the options to be passed to the 0in runtime tool.
1134
; Default value set to "".
1135
; ZeroInOptions = ""
1136
 
1137
; Initial seed for the Random Number Generator (RNG) of the root thread (SystemVerilog).
1138
; Sv_Seed = 0
1139
 
1140
; Maximum size of dynamic arrays that are resized during randomize().
1141
; The default is 1000. A value of 0 indicates no limit.
1142
; SolveArrayResizeMax = 1000
1143
 
1144
; Error message severity when randomize() failure is detected (SystemVerilog).
1145
; The default is 0 (no error).
1146
; 0 = No error  1 = Warning  2 = Error  3 = Failure  4 = Fatal
1147
; SolveFailSeverity = 0
1148
 
1149
; Enable/disable debug information for randomize() failures (SystemVerilog).
1150
; The default is 0 (disabled). Set to 1 to enable.
1151
; SolveFailDebug = 0
1152
 
1153
; When SolveFailDebug is enabled, this value specifies the algorithm used to
1154
; discover conflicts between constraints for randomize() failures.
1155
; The default is "many".
1156
;
1157
; Valid schemes are:
1158
;    "many" = best for determining conflicts due to many related constraints
1159
;    "few"  = best for determining conflicts due to few related constraints
1160
;
1161
; SolveFailDebugScheme = many
1162
 
1163
; When SolveFailDebug is enabled and SolveFailDebugScheme is "few", this value
1164
; specifies the maximum number of constraint subsets that will be tested for
1165
; conflicts.
1166
; The default is 0 (no limit).
1167
; SolveFailDebugLimit = 0
1168
 
1169
; When SolveFailDebug is enabled and SolveFailDebugScheme is "few", this value
1170
; specifies the maximum size of constraint subsets that will be tested for
1171
; conflicts.
1172
; The default value is 0 (no limit).
1173
; SolveFailDebugMaxSet = 0
1174
 
1175
; Maximum size of the solution graph that may be generated during randomize().
1176
; This value can be used to force randomize() to abort if the memory
1177
; requirements of the constraint scenario exceeds the specified limit. This
1178
; value is specified in 1000s of nodes.
1179
; The default is 10000. A value of 0 indicates no limit.
1180
; SolveGraphMaxSize = 10000
1181
 
1182
; Maximum number of evaluations that may be performed on the solution graph
1183
; generated during randomize(). This value can be used to force randomize() to
1184
; abort if the complexity of the constraint scenario (in time) exceeds the
1185
; specified limit. This value is specified in 10000s of evaluations.
1186
; The default is 10000. A value of 0 indicates no limit.
1187
; SolveGraphMaxEval = 10000
1188
 
1189
; Use SolveFlags to specify options that will guide the behavior of the
1190
; constraint solver. These options may improve the performance of the
1191
; constraint solver for some testcases, and decrease the performance of
1192
; the constraint solver for others.
1193
; The default value is "" (no options).
1194
;
1195
; Valid flags are:
1196
;    c = interleave bits of concatenation operands
1197
;    i = disable bit interleaving for >, >=, <, <= constraints
1198
;    n = disable bit interleaving for all constraints
1199
;    r = reverse bit interleaving
1200
;
1201
; SolveFlags =
1202
 
1203
; Specify random sequence compatiblity with a prior letter release. This
1204
; option is used to get the same random sequences during simulation as
1205
; as a prior letter release. Only prior letter releases (of the current
1206
; number release) are allowed.
1207
; Note: To achieve the same random sequences, solver optimizations and/or
1208
; bug fixes introduced since the specified release may be disabled -
1209
; yielding the performance / behavior of the prior release.
1210
; Default value set to "" (random compatibility not required).
1211
; SolveRev =
1212
 
1213
; Environment variable expansion of command line arguments has been depricated
1214
; in favor shell level expansion.  Universal environment variable expansion
1215
; inside -f files is support and continued support for MGC Location Maps provide
1216
; alternative methods for handling flexible pathnames.
1217
; The following line may be uncommented and the value set to 1 to re-enable this
1218
; deprecated behavior.  The default value is 0.
1219
; DeprecatedEnvironmentVariableExpansion = 0
1220
 
1221
; Turn on/off collapsing of bus ports in VCD dumpports output
1222
DumpportsCollapse = 1
1223
 
1224
; Location of Multi-Level Verification Component (MVC) installation.
1225
; The default location is the product installation directory.
1226
; MvcHome = $MODEL_TECH/...
1227
 
1228
[lmc]
1229
; The simulator's interface to Logic Modeling's SmartModel SWIFT software
1230
libsm = $MODEL_TECH/libsm.sl
1231
; The simulator's interface to Logic Modeling's SmartModel SWIFT software (Windows NT)
1232
; libsm = $MODEL_TECH/libsm.dll
1233
;  Logic Modeling's SmartModel SWIFT software (HP 9000 Series 700)
1234
; libswift = $LMC_HOME/lib/hp700.lib/libswift.sl
1235
;  Logic Modeling's SmartModel SWIFT software (IBM RISC System/6000)
1236
; libswift = $LMC_HOME/lib/ibmrs.lib/swift.o
1237
;  Logic Modeling's SmartModel SWIFT software (Sun4 Solaris)
1238
; libswift = $LMC_HOME/lib/sun4Solaris.lib/libswift.so
1239
;  Logic Modeling's SmartModel SWIFT software (Windows NT)
1240
; libswift = $LMC_HOME/lib/pcnt.lib/libswift.dll
1241
;  Logic Modeling's SmartModel SWIFT software (non-Enterprise versions of Linux)
1242
; libswift = $LMC_HOME/lib/x86_linux.lib/libswift.so
1243
;  Logic Modeling's SmartModel SWIFT software (Enterprise versions of Linux)
1244
; libswift = $LMC_HOME/lib/linux.lib/libswift.so
1245
 
1246
; The simulator's interface to Logic Modeling's hardware modeler SFI software
1247
libhm = $MODEL_TECH/libhm.sl
1248
; The simulator's interface to Logic Modeling's hardware modeler SFI software (Windows NT)
1249
; libhm = $MODEL_TECH/libhm.dll
1250
;  Logic Modeling's hardware modeler SFI software (HP 9000 Series 700)
1251
; libsfi = /lib/hp700/libsfi.sl
1252
;  Logic Modeling's hardware modeler SFI software (IBM RISC System/6000)
1253
; libsfi = /lib/rs6000/libsfi.a
1254
;  Logic Modeling's hardware modeler SFI software (Sun4 Solaris)
1255
; libsfi = /lib/sun4.solaris/libsfi.so
1256
;  Logic Modeling's hardware modeler SFI software (Windows NT)
1257
; libsfi = /lib/pcnt/lm_sfi.dll
1258
;  Logic Modeling's hardware modeler SFI software (Linux)
1259
; libsfi = /lib/linux/libsfi.so
1260
 
1261
[msg_system]
1262
; Change a message severity or suppress a message.
1263
; The format is:  = [,...]
1264
; suppress can be used to achieve +nowarn functionality
1265
; The format is: suppress = ,,[,,...]
1266
; Examples:
1267
;   note = 3009
1268
;   warning = 3033
1269
;   error = 3010,3016
1270
;   fatal = 3016,3033
1271
;   suppress = 3009,3016,3043
1272
;   suppress = 3009,CNNODP,3043,TFMPC
1273
; The command verror  can be used to get the complete
1274
; description of a message.
1275
 
1276
; Control transcripting of Verilog display system task messages and
1277
; PLI/FLI print function call messages.  The system tasks include
1278
; $display[bho], $strobe[bho], Smonitor{bho], and $write[bho].  They
1279
; also include the analogous file I/O tasks that write to STDOUT
1280
; (i.e. $fwrite or $fdisplay).  The PLI/FLI calls include io_printf,
1281
; vpi_printf, mti_PrintMessage, and mti_PrintFormatted.  The default
1282
; is to have messages appear only in the transcript.  The other
1283
; settings are to send messages to the wlf file only (messages that
1284
; are recorded in the wlf file can be viewed in the MsgViewer) or
1285
; to both the transcript and the wlf file.  The valid values are
1286
;    tran  {transcript only (default)}
1287
;    wlf   {wlf file only}
1288
;    both  {transcript and wlf file}
1289
; displaymsgmode = tran
1290
 
1291
; Control transcripting of elaboration/runtime messages not
1292
; addressed by the displaymsgmode setting.  The default is to
1293
; have messages appear in the transcript and recorded in the wlf
1294
; file (messages that are recorded in the wlf file can be viewed
1295
; in the MsgViewer).  The other settings are to send messages
1296
; only to the transcript or only to the wlf file.  The valid
1297
; values are
1298
;    both  {default}
1299
;    tran  {transcript only}
1300
;    wlf   {wlf file only}
1301
; msgmode = both

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.