OpenCores
URL https://opencores.org/ocsvn/sdhc-sc-core/sdhc-sc-core/trunk

Subversion Repositories sdhc-sc-core

[/] [sdhc-sc-core/] [trunk/] [grpSdVerification/] [unitSdCardModel/] [src/] [Crc.sv] - Blame information for rev 185

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 164 rkastl
// SDHC-SC-Core
2
// Secure Digital High Capacity Self Configuring Core
3 62 rkastl
//
4 170 rkastl
// (C) Copyright 2010, Rainer Kastl
5
// All rights reserved.
6 164 rkastl
//
7 170 rkastl
// Redistribution and use in source and binary forms, with or without
8
// modification, are permitted provided that the following conditions are met:
9
//     * Redistributions of source code must retain the above copyright
10
//       notice, this list of conditions and the following disclaimer.
11
//     * Redistributions in binary form must reproduce the above copyright
12
//       notice, this list of conditions and the following disclaimer in the
13
//       documentation and/or other materials provided with the distribution.
14
//     * Neither the name of the  nor the
15
//       names of its contributors may be used to endorse or promote products
16
//       derived from this software without specific prior written permission.
17 164 rkastl
//
18 170 rkastl
// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS  "AS IS" AND
19
// ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
20
// WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
21
// DISCLAIMED. IN NO EVENT SHALL  BE LIABLE FOR ANY
22
// DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
23
// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
24
// LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND
25
// ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
26
// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
27
// SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
28 164 rkastl
//
29
// File        : Crc.sv
30
// Owner       : Rainer Kastl
31
// Description : CRC calculations using SD CRC polynoms
32
// Links       :
33
//
34 62 rkastl
 
35 135 rkastl
`ifndef CRC
36
`define CRC
37
 
38 100 rkastl
typedef logic[6:0] aCrc7;
39
typedef logic[15:0] aCrc16;
40 62 rkastl
 
41 100 rkastl
function automatic aCrc7 calcCrc7(logic data[$]);
42
        aCrc7 crc = 0;
43 62 rkastl
 
44
        for(int i = 0; i < data.size(); i++) begin
45
                if (((crc[6] & 1)) != data[i])
46
                         crc = (crc << 1) ^ 'b10001001;
47
                else
48
                         crc <<= 1;
49
        end
50
        return crc;
51
endfunction
52
 
53 100 rkastl
function automatic aCrc16 calcCrc16(logic data[$]);
54
        aCrc16 crc = 0;
55
 
56
        for(int i = 0; i < data.size(); i++) begin
57
                if (((crc[15] & 1)) != data[i])
58
                         crc = (crc << 1) ^ 'b10001000000100001;
59
                else
60
                         crc <<= 1;
61
        end
62
        return crc;
63
 
64
endfunction
65
 
66 135 rkastl
`endif
67
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.