OpenCores
URL https://opencores.org/ocsvn/sdhc-sc-core/sdhc-sc-core/trunk

Subversion Repositories sdhc-sc-core

[/] [sdhc-sc-core/] [trunk/] [grpSdVerification/] [unitSdVerificationTestbench/] [sim/] [modelsim.ini] - Blame information for rev 185

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 46 rkastl
; Copyright 1991-2009 Mentor Graphics Corporation
2
;
3
; All Rights Reserved.
4
;
5
; THIS WORK CONTAINS TRADE SECRET AND PROPRIETARY INFORMATION WHICH IS THE PROPERTY OF
6
; MENTOR GRAPHICS CORPORATION OR ITS LICENSORS AND IS SUBJECT TO LICENSE TERMS.
7
;
8
 
9
[Library]
10
others = $MODEL_TECH/../modelsim.ini
11
;vhdl_psl_checkers = $MODEL_TECH/../vhdl_psl_checkers       // Source files only for this release
12
;verilog_psl_checkers = $MODEL_TECH/../verilog_psl_checkers // Source files only for this release
13
;mvc_lib = $MODEL_TECH/../mvc_lib
14
 
15
work = work
16 123 rkastl
altera_mf = ../../../libaltera_mf/sim/altera_mf
17 46 rkastl
[vcom]
18
; VHDL93 variable selects language version as the default.
19
; Default is VHDL-2002.
20
; Value of 0 or 1987 for VHDL-1987.
21
; Value of 1 or 1993 for VHDL-1993.
22
; Default or value of 2 or 2002 for VHDL-2002.
23
; Value of 3 or 2008 for VHDL-2008
24 176 rkastl
VHDL93 = 93
25 46 rkastl
 
26
; Show source line containing error. Default is off.
27
; Show_source = 1
28
 
29
; Turn off unbound-component warnings. Default is on.
30
; Show_Warning1 = 0
31
 
32
; Turn off process-without-a-wait-statement warnings. Default is on.
33
; Show_Warning2 = 0
34
 
35
; Turn off null-range warnings. Default is on.
36
; Show_Warning3 = 0
37
 
38
; Turn off no-space-in-time-literal warnings. Default is on.
39
; Show_Warning4 = 0
40
 
41
; Turn off multiple-drivers-on-unresolved-signal warnings. Default is on.
42
; Show_Warning5 = 0
43
 
44
; Turn off optimization for IEEE std_logic_1164 package. Default is on.
45
; Optimize_1164 = 0
46
 
47
; Turn on resolving of ambiguous function overloading in favor of the
48
; "explicit" function declaration (not the one automatically created by
49
; the compiler for each type declaration). Default is off.
50
; The .ini file has Explicit enabled so that std_logic_signed/unsigned
51
; will match the behavior of synthesis tools.
52
Explicit = 1
53
 
54
; Turn off acceleration of the VITAL packages. Default is to accelerate.
55
; NoVital = 1
56
 
57
; Turn off VITAL compliance checking. Default is checking on.
58
; NoVitalCheck = 1
59
 
60
; Ignore VITAL compliance checking errors. Default is to not ignore.
61
; IgnoreVitalErrors = 1
62
 
63
; Turn off VITAL compliance checking warnings. Default is to show warnings.
64
; Show_VitalChecksWarnings = 0
65
 
66
; Turn off PSL assertion warning messages. Default is to show warnings.
67
; Show_PslChecksWarnings = 0
68
 
69
; Enable parsing of embedded PSL assertions. Default is enabled.
70
; EmbeddedPsl = 0
71
 
72
; Keep silent about case statement static warnings.
73
; Default is to give a warning.
74
; NoCaseStaticError = 1
75
 
76
; Keep silent about warnings caused by aggregates that are not locally static.
77
; Default is to give a warning.
78
; NoOthersStaticError = 1
79
 
80
; Treat as errors:
81
;   case statement static warnings
82
;   warnings caused by aggregates that are not locally static
83
; Overrides NoCaseStaticError, NoOthersStaticError settings.
84
; PedanticErrors = 1
85
 
86
; Turn off inclusion of debugging info within design units.
87
; Default is to include debugging info.
88
; NoDebug = 1
89
 
90
; Turn off "Loading..." messages. Default is messages on.
91
; Quiet = 1
92
 
93
; Turn on some limited synthesis rule compliance checking. Checks only:
94
;    -- signals used (read) by a process must be in the sensitivity list
95
; CheckSynthesis = 1
96
 
97
; Activate optimizations on expressions that do not involve signals,
98
; waits, or function/procedure/task invocations. Default is off.
99
; ScalarOpts = 1
100
 
101
; Turns on lint-style checking.
102
; Show_Lint = 1
103
 
104
; Require the user to specify a configuration for all bindings,
105
; and do not generate a compile time default binding for the
106
; component. This will result in an elaboration error of
107
; 'component not bound' if the user fails to do so. Avoids the rare
108
; issue of a false dependency upon the unused default binding.
109
; RequireConfigForAllDefaultBinding = 1
110
 
111
; Perform default binding at compile time.
112
; Default is to do default binding at load time.
113
; BindAtCompile = 1;
114
 
115
; Inhibit range checking on subscripts of arrays. Range checking on
116
; scalars defined with subtypes is inhibited by default.
117
; NoIndexCheck = 1
118
 
119
; Inhibit range checks on all (implicit and explicit) assignments to
120
; scalar objects defined with subtypes.
121
; NoRangeCheck = 1
122
 
123
; Run the 0-in compiler on the VHDL source files
124
; Default is off.
125
; ZeroIn = 1
126
 
127
; Set the options to be passed to the 0-in compiler.
128
; Default is "".
129
; ZeroInOptions = ""
130
 
131
; Turn on code coverage in VHDL design units. Default is off.
132
; Coverage = sbceft
133
 
134
; Turn off code coverage in VHDL subprograms. Default is on.
135
; CoverageSub = 0
136
 
137
; Automatically exclude VHDL case statement default branches.
138
; Default is to not exclude.
139
; CoverExcludeDefault = 1
140
 
141
; Control compiler and VOPT optimizations that are allowed when
142
; code coverage is on.  Refer to the comment for this in the [vlog] area.
143
; CoverOpt = 3
144
 
145
; Inform code coverage optimizations to respect VHDL 'H' and 'L'
146
; values on signals in conditions and expressions, and to not automatically
147
; convert them to '1' and '0'. Default is to not convert.
148
; CoverRespectHandL = 0
149
 
150
; Increase or decrease the maximum number of rows allowed in a UDP table
151
; implementing a VHDL condition coverage or expression coverage expression.
152
; More rows leads to a longer compile time, but more expressions covered.
153
; CoverMaxUDPRows = 192
154
 
155
; Increase or decrease the maximum number of input patterns that are present
156
; in FEC table. This leads to a longer compile time with more expressions
157
; covered with FEC metric.
158
; CoverMaxFECRows = 192
159
 
160
; Enable or disable Focused Expression Coverage analysis for conditions and
161
; expressions. Focused Expression Coverage data is provided by default when
162
; expression and/or condition coverage is active.
163
; CoverFEC = 0
164
 
165
; Enable or disable short circuit evaluation of conditions and expressions when
166
; condition or expression coverage is active. Short circuit evaluation is enabled
167
; by default.
168
; CoverShortCircuit = 0
169
 
170
; Use this directory for compiler temporary files instead of "work/_temp"
171
; CompilerTempDir = /tmp
172
 
173
; Add VHDL-AMS declarations to package STANDARD
174
; Default is not to add
175
; AmsStandard = 1
176
 
177
; Range and length checking will be performed on array indices and discrete
178
; ranges, and when violations are found within subprograms, errors will be
179
; reported. Default is to issue warnings for violations, because subprograms
180
; may not be invoked.
181
; NoDeferSubpgmCheck = 0
182
 
183
; Turn off detection of FSMs having single bit current state variable.
184
; FsmSingle = 0
185
 
186
; Turn off reset state transitions in FSM.
187
; FsmResetTrans = 0
188
 
189 136 rkastl
NoDebug = 0
190
CheckSynthesis = 0
191
NoVitalCheck = 0
192
Optimize_1164 = 1
193
NoVital = 0
194
Quiet = 0
195 158 rkastl
Show_source = 1
196 136 rkastl
DisableOpt = 0
197
ZeroIn = 0
198
CoverageNoSub = 0
199
NoCoverage = 0
200
Coverage = sbcef
201 176 rkastl
CoverCells = 1
202 136 rkastl
CoverExcludeDefault = 1
203
CoverageFEC = 1
204
CoverageShortCircuit = 0
205
CoverOpt = 3
206
Show_Warning1 = 1
207
Show_Warning2 = 1
208
Show_Warning3 = 1
209
Show_Warning4 = 1
210
Show_Warning5 = 1
211 46 rkastl
[vlog]
212
; Turn off inclusion of debugging info within design units.
213
; Default is to include debugging info.
214
; NoDebug = 1
215
 
216
; Turn on `protect compiler directive processing.
217
; Default is to ignore `protect directives.
218
; Protect = 1
219
 
220
; Turn off "Loading..." messages. Default is messages on.
221
; Quiet = 1
222
 
223
; Turn on Verilog hazard checking (order-dependent accessing of global vars).
224
; Default is off.
225
; Hazard = 1
226
 
227
; Turn on converting regular Verilog identifiers to uppercase. Allows case
228
; insensitivity for module names. Default is no conversion.
229
; UpCase = 1
230
 
231
; Activate optimizations on expressions that do not involve signals,
232
; waits, or function/procedure/task invocations. Default is off.
233
; ScalarOpts = 1
234
 
235
; Turns on lint-style checking.
236
; Show_Lint = 1
237
 
238
; Show source line containing error. Default is off.
239
; Show_source = 1
240
 
241
; Turn on bad option warning. Default is off.
242
; Show_BadOptionWarning = 1
243
 
244
; Revert back to IEEE 1364-1995 syntax, default is 0 (off).
245
; vlog95compat = 1
246
 
247
; Turn off PSL warning messages. Default is to show warnings.
248
; Show_PslChecksWarnings = 0
249
 
250
; Enable parsing of embedded PSL assertions. Default is enabled.
251
; EmbeddedPsl = 0
252
 
253
; Set the threshold for automatically identifying sparse Verilog memories.
254
; A memory with depth equal to or more than the sparse memory threshold gets
255
; marked as sparse automatically, unless specified otherwise in source code
256
; or by +nosparse commandline option of vlog or vopt.
257
; The default is 1M.  (i.e. memories with depth equal
258
; to or greater than 1M are marked as sparse)
259
; SparseMemThreshold = 1048576
260
 
261
; Set the maximum number of iterations permitted for a generate loop.
262
; Restricting this permits the implementation to recognize infinite
263
; generate loops.
264
; GenerateLoopIterationMax = 100000
265
 
266
; Set the maximum depth permitted for a recursive generate instantiation.
267
; Restricting this permits the implementation to recognize infinite
268
; recursions.
269
; GenerateRecursionDepthMax = 200
270
 
271
; Run the 0-in compiler on the Verilog source files
272
; Default is off.
273
; ZeroIn = 1
274
 
275
; Set the options to be passed to the 0-in compiler.
276
; Default is "".
277
; ZeroInOptions = ""
278
 
279
; Set the option to treat all files specified in a vlog invocation as a
280
; single compilation unit. The default value is set to 0 which will treat
281
; each file as a separate compilation unit as specified in the P1800 draft standard.
282
; MultiFileCompilationUnit = 1
283
 
284
; Turn on code coverage in Verilog design units. Default is off.
285
; Coverage = sbceft
286
 
287
; Automatically exclude Verilog case statement default branches.
288
; Default is to not automatically exclude defaults.
289
; CoverExcludeDefault = 1
290
 
291
; Increase or decrease the maximum number of rows allowed in a UDP table
292
; implementing a Verilog condition coverage or expression coverage expression.
293
; More rows leads to a longer compile time, but more expressions covered.
294
; CoverMaxUDPRows = 192
295
 
296
; Increase or decrease the maximum number of input patterns that are present
297
; in FEC table. This leads to a longer compile time with more expressions
298
; covered with FEC metric.
299
; CoverMaxFECRows = 192
300
 
301
; Enable or disable Focused Expression Coverage analysis for conditions and
302
; expressions. Focused Expression Coverage data is provided by default when
303
; expression and/or condition coverage is active.
304
; CoverFEC = 0
305
 
306
; Enable or disable short circuit evaluation of conditions and expressions when
307
; condition or expression coverage is active. Short circuit evaluation is enabled
308
; by default.
309
; CoverShortCircuit = 0
310
 
311
 
312
; Turn on code coverage in VLOG `celldefine modules and modules included
313
; using vlog -v and -y. Default is off.
314
; CoverCells = 1
315
 
316
; Control compiler and VOPT optimizations that are allowed when
317
; code coverage is on. This is a number from 1 to 4, with the following
318
; meanings (the default is 3):
319
;    1 -- Turn off all optimizations that affect coverage reports.
320
;    2 -- Allow optimizations that allow large performance improvements
321
;         by invoking sequential processes only when the data changes.
322
;         This may make major reductions in coverage counts.
323
;    3 -- In addition, allow optimizations that may change expressions or
324
;         remove some statements. Allow constant propagation. Allow VHDL
325
;         subprogram inlining and VHDL FF recognition.
326
;    4 -- In addition, allow optimizations that may remove major regions of
327
;         code by changing assignments to built-ins or removing unused
328
;         signals. Change Verilog gates to continuous assignments.
329
; CoverOpt = 3
330
 
331
; Specify the override for the default value of "cross_num_print_missing"
332
; option for the Cross in Covergroups. If not specified then LRM default
333
; value of 0 (zero) is used. This is a compile time option.
334
; SVCrossNumPrintMissingDefault = 0
335
 
336
; Setting following to 1 would cause creation of variables which
337
; would represent the value of Coverpoint expressions. This is used
338
; in conjunction with "SVCoverpointExprVariablePrefix" option
339
; in the modelsim.ini
340
; EnableSVCoverpointExprVariable = 0
341
 
342
; Specify the override for the prefix used in forming the variable names
343
; which represent the Coverpoint expressions. This is used in conjunction with
344
; "EnableSVCoverpointExprVariable" option of the modelsim.ini
345
; The default prefix is "expr".
346
; The variable name is
347
;    variable name => _
348
; SVCoverpointExprVariablePrefix = expr
349
 
350
; Override for the default value of the SystemVerilog covergroup,
351
; coverpoint, and cross option.goal (defined to be 100 in the LRM).
352
; NOTE: It does not override specific assignments in SystemVerilog
353
; source code. NOTE: The modelsim.ini variable "SVCovergroupGoal"
354
; in the [vsim] section can override this value.
355
; SVCovergroupGoalDefault = 100
356
 
357
; Override for the default value of the SystemVerilog covergroup,
358
; coverpoint, and cross type_option.goal (defined to be 100 in the LRM)
359
; NOTE: It does not override specific assignments in SystemVerilog
360
; source code. NOTE: The modelsim.ini variable "SVCovergroupTypeGoal"
361
; in the [vsim] section can override this value.
362
; SVCovergroupTypeGoalDefault = 100
363
 
364
; Specify the override for the default value of "strobe" option for the
365
; Covergroup Type. This is a compile time option which forces "strobe" to
366
; a user specified default value and supersedes SystemVerilog specified
367
; default value of '0'(zero). NOTE: This can be overriden by a runtime
368
; modelsim.ini variable "SVCovergroupStrobe" in the [vsim] section.
369
; SVCovergroupStrobeDefault = 0
370
 
371
; Specify the override for the default value of "merge_instances" option for
372
; the Covergroup Type. This is a compile time option which forces
373
; "merge_instances" to a user specified default value and supersedes
374
; SystemVerilog specified default value of '0'(zero).
375
; SVCovergroupMergeInstancesDefault = 0
376
 
377
; Specify the override for the default value of "per_instance" option for the
378
; Covergroup variables. This is a compile time option which forces "per_instance"
379
; to a user specified default value and supersedes SystemVerilog specified
380
; default value of '0'(zero).
381
; SVCovergroupPerInstanceDefault = 0
382
 
383
; Specify the override for the default value of "get_inst_coverage" option for the
384
; Covergroup variables. This is a compile time option which forces
385
; "get_inst_coverage" to a user specified default value and supersedes
386
; SystemVerilog specified default value of '0'(zero).
387
; SVCovergroupGetInstCoverageDefault = 0
388
 
389
;
390
; A space separated list of resource libraries that contain precompiled
391
; packages.  The behavior is identical to using the "-L" switch.
392
;
393
; LibrarySearchPath =  [ ...]
394
LibrarySearchPath = mtiAvm mtiOvm mtiUPF
395
 
396
; The behavior is identical to the "-mixedansiports" switch.  Default is off.
397
; MixedAnsiPorts = 1
398
 
399
; Enable SystemVerilog 3.1a $typeof() function. Default is off.
400
; EnableTypeOf = 1
401
 
402
; Only allow lower case pragmas. Default is disabled.
403
; AcceptLowerCasePragmaOnly = 1
404
 
405
; Set the maximum depth permitted for a recursive include file nesting.
406
; IncludeRecursionDepthMax = 5
407
 
408
; Turn off detection of FSMs having single bit current state variable.
409
; FsmSingle = 0
410
 
411
; Turn off reset state transitions in FSM.
412
; FsmResetTrans = 0
413
 
414
; Turn off detections of FSMs having x-assignment.
415
; FsmXAssign = 0
416
 
417
; List of file suffixes which will be read as SystemVerilog.  White space
418
; in extensions can be specified with a back-slash: "\ ".  Back-slashes
419
; can be specified with two consecutive back-slashes: "\\";
420
; SVFileExtensions = sv svp svh
421
 
422
; This setting is the same as the vlog -sv command line switch.
423
; Enables SystemVerilog features and keywords when true (1).
424
; When false (0), the rules of IEEE Std 1364-2001 are followed and
425
; SystemVerilog keywords are ignored.
426
; Svlog = 0
427
 
428
; Prints attribute placed upon SV packages during package import
429
; when true (1).  The attribute will be ignored when this
430
; entry is false (0). The attribute name is "package_load_message".
431
; The value of this attribute is a string literal.
432
; Default is true (1).
433
; PrintSVPackageLoadingAttribute = 1
434
 
435 136 rkastl
vlog95compat = 0
436
Vlog01Compat = 0
437
Svlog = 0
438
Coverage = sbcef
439 176 rkastl
CoverCells = 1
440 136 rkastl
CoverExcludeDefault = 1
441
CoverageFEC = 0
442
CoverageShortCircuit = 0
443
CoverOpt = 3
444
OptionFile = /home/draugdel/SD-CORE/src/grpSd/unitSdVerificationTestbench/sim/vlog.opt
445
Quiet = 0
446
Show_source = 0
447
Protect = 0
448
NoDebug = 0
449
Hazard = 0
450
UpCase = 0
451
DisableOpt = 0
452
ZeroIn = 0
453 46 rkastl
[sccom]
454
; Enable use of SCV include files and library.  Default is off.
455
; UseScv = 1
456
 
457
; Add C++ compiler options to the sccom command line by using this variable.
458
; CppOptions = -g
459
 
460
; Use custom C++ compiler located at this path rather than the default path.
461
; The path should point directly at a compiler executable.
462
; CppPath = /usr/bin/g++
463
 
464
; Enable verbose messages from sccom.  Default is off.
465
; SccomVerbose = 1
466
 
467
; sccom logfile.  Default is no logfile.
468
; SccomLogfile = sccom.log
469
 
470
; Enable use of SC_MS include files and library.  Default is off.
471
; UseScMs = 1
472
 
473 136 rkastl
UseScv = 0
474
UseScMs = 0
475
CppOptions =
476
SccomVerbose = 0
477 46 rkastl
[vopt]
478
; Turn on code coverage in vopt.  Default is off.
479
; Coverage = sbceft
480
 
481
; Control compiler optimizations that are allowed when
482
; code coverage is on.  Refer to the comment for this in the [vlog] area.
483
; CoverOpt = 3
484
 
485
; Increase or decrease the maximum number of rows allowed in a UDP table
486
; implementing a vopt condition coverage or expression coverage expression.
487
; More rows leads to a longer compile time, but more expressions covered.
488
; CoverMaxUDPRows = 192
489
 
490
; Increase or decrease the maximum number of input patterns that are present
491
; in FEC table. This leads to a longer compile time with more expressions
492
; covered with FEC metric.
493
; CoverMaxFECRows = 192
494
 
495
[vsim]
496
; vopt flow
497
; Set to turn on automatic optimization of a design.
498
; Default is on
499
VoptFlow = 1
500
 
501
; vopt automatic SDF
502
; If automatic design optimization is on, enables automatic compilation
503
; of SDF files.
504
; Default is on, uncomment to turn off.
505
; VoptAutoSDFCompile = 0
506
 
507
; Automatic SDF compilation
508
; Disables automatic compilation of SDF files in flows that support it.
509
; Default is on, uncomment to turn off.
510
; NoAutoSDFCompile = 1
511
 
512
; Simulator resolution
513
; Set to fs, ps, ns, us, ms, or sec with optional prefix of 1, 10, or 100.
514
Resolution = ns
515
 
516
; Disable certain code coverage exclusions automatically.
517
; Assertions and FSM are exluded from the code coverage by default
518
; Set AutoExclusionsDisable = fsm to enable code coverage for fsm
519
; Set AutoExclusionsDisable = assertions to enable code coverage for assertions
520
; Set AutoExclusionsDisable = all to enable code coverage for all the automatic exclusions
521
; Or specify comma or space separated list
522
;AutoExclusionsDisable = fsm,assertions
523
 
524
; User time unit for run commands
525
; Set to default, fs, ps, ns, us, ms, or sec. The default is to use the
526
; unit specified for Resolution. For example, if Resolution is 100ps,
527
; then UserTimeUnit defaults to ps.
528
; Should generally be set to default.
529
UserTimeUnit = default
530
 
531
; Default run length
532
RunLength = 100
533
 
534
; Maximum iterations that can be run without advancing simulation time
535
IterationLimit = 5000
536
 
537
; Control PSL and Verilog Assume directives during simulation
538
; Set SimulateAssumeDirectives = 0 to disable assume being simulated as asserts
539
; Set SimulateAssumeDirectives = 1 to enable assume simulation as asserts
540
; SimulateAssumeDirectives = 1
541
 
542
; Control the simulation of PSL and SVA
543
; These switches can be overridden by the vsim command line switches:
544
;    -psl, -nopsl, -sva, -nosva.
545
; Set SimulatePSL = 0 to disable PSL simulation
546
; Set SimulatePSL = 1 to enable PSL simulation (default)
547
; SimulatePSL = 1
548
; Set SimulateSVA = 0 to disable SVA simulation
549
; Set SimulateSVA = 1 to enable concurrent SVA simulation (default)
550
; SimulateSVA = 1
551
 
552
; Directives to license manager can be set either as single value or as
553
; space separated multi-values:
554
; vhdl          Immediately reserve a VHDL license
555
; vlog          Immediately reserve a Verilog license
556
; plus          Immediately reserve a VHDL and Verilog license
557
; nomgc         Do not look for Mentor Graphics Licenses
558
; nomti         Do not look for Model Technology Licenses
559
; noqueue       Do not wait in the license queue when a license is not available
560
; viewsim       Try for viewer license but accept simulator license(s) instead
561
;               of queuing for viewer license (PE ONLY)
562
; noviewer      Disable checkout of msimviewer and vsim-viewer license
563
;               features (PE ONLY)
564
; noslvhdl      Disable checkout of qhsimvh and vsim license features
565
; noslvlog      Disable checkout of qhsimvl and vsimvlog license features
566
; nomix         Disable checkout of msimhdlmix and hdlmix license features
567
; nolnl         Disable checkout of msimhdlsim and hdlsim license features
568
; mixedonly     Disable checkout of qhsimvh,qhsimvl,vsim,vsimvlog license
569
;               features
570
; lnlonly       Disable checkout of qhsimvh,qhsimvl,vsim,vsimvlog,msimhdlmix,
571
;               hdlmix license features
572
; Single value:
573
; License = plus
574
; Multi-value:
575
; License = noqueue plus
576
 
577
; Stop the simulator after a VHDL/Verilog immediate assertion message
578
; 0 = Note  1 = Warning  2 = Error  3 = Failure  4 = Fatal
579 122 rkastl
BreakOnAssertion = 3
580 46 rkastl
 
581
; VHDL assertion Message Format
582
; %S - Severity Level
583
; %R - Report Message
584
; %T - Time of assertion
585
; %D - Delta
586
; %I - Instance or Region pathname (if available)
587
; %i - Instance pathname with process
588
; %O - Process name
589
; %K - Kind of object path is to return: Instance, Signal, Process or Unknown
590
; %P - Instance or Region path without leaf process
591
; %F - File
592
; %L - Line number of assertion or, if assertion is in a subprogram, line
593
;      from which the call is made
594
; %% - Print '%' character
595
; If specific format for assertion level is defined, use its format.
596
; If specific format is not defined for assertion level:
597
; - and if failure occurs during elaboration, use MessageFormatBreakLine;
598
; - and if assertion triggers a breakpoint (controlled by BreakOnAssertion
599
;   level), use MessageFormatBreak;
600
; - otherwise, use MessageFormat.
601
; MessageFormatBreakLine = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F Line: %L\n"
602
; MessageFormatBreak     = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F\n"
603
; MessageFormat          = "** %S: %R\n   Time: %T  Iteration: %D%I\n"
604
; MessageFormatNote      = "** %S: %R\n   Time: %T  Iteration: %D%I\n"
605
; MessageFormatWarning   = "** %S: %R\n   Time: %T  Iteration: %D%I\n"
606
; MessageFormatError     = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F\n"
607
; MessageFormatFail      = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F\n"
608
; MessageFormatFatal     = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F\n"
609
 
610
; Error File - alternate file for storing error messages
611
; ErrorFile = error.log
612
 
613
 
614
; Simulation Breakpoint messages
615
; This flag controls the display of function names when reporting the location
616
; where the simulator stops do to a breakpoint or fatal error.
617
; Example w/function name:  # Break in Process ctr at counter.vhd line 44
618
; Example wo/function name: # Break at counter.vhd line 44
619
ShowFunctions = 1
620
 
621
; Default radix for all windows and commands.
622
; Set to symbolic, ascii, binary, octal, decimal, hex, unsigned
623
DefaultRadix = symbolic
624
 
625
; VSIM Startup command
626
; Startup = do startup.do
627
 
628
; VSIM Shutdown file
629
; Filename to save u/i formats and configurations.
630
; ShutdownFile = restart.do
631
; To explicitly disable auto save:
632
; ShutdownFile = --disable-auto-save
633
 
634
; File for saving command transcript
635
TranscriptFile = transcript
636
 
637
; File for saving command history
638
; CommandHistory = cmdhist.log
639
 
640
; Specify whether paths in simulator commands should be described
641
; in VHDL or Verilog format.
642
; For VHDL, PathSeparator = /
643
; For Verilog, PathSeparator = .
644
; Must not be the same character as DatasetSeparator.
645
PathSeparator = /
646
 
647
; Specify the dataset separator for fully rooted contexts.
648
; The default is ':'. For example: sim:/top
649
; Must not be the same character as PathSeparator.
650
DatasetSeparator = :
651
 
652
; Specify a unique path separator for the Signal Spy set of functions.
653
; The default will be to use the PathSeparator variable.
654
; Must not be the same character as DatasetSeparator.
655
; SignalSpyPathSeparator = /
656
 
657
; Used to control parsing of HDL identifiers input to the tool.
658
; This includes CLI commands, vsim/vopt/vlog/vcom options,
659
; string arguments to FLI/VPI/DPI calls, etc.
660
; If set to 1, accept either Verilog escaped Id syntax or
661
; VHDL extended id syntax, regardless of source language.
662
; If set to 0, the syntax of the source language must be used.
663
; Each identifier in a hierarchical name may need different syntax,
664
; e.g. "/top/\vhdl*ext*id\/middle/\vlog*ext*id /bottom" or
665
;       "top.\vhdl*ext*id\.middle.\vlog*ext*id .bottom"
666
; GenerousIdentifierParsing = 1
667
 
668
; Disable VHDL assertion messages
669
; IgnoreNote = 1
670
; IgnoreWarning = 1
671
; IgnoreError = 1
672
; IgnoreFailure = 1
673
 
674
; Disable System Verilog assertion messages
675
; IgnoreSVAInfo = 1
676
; IgnoreSVAWarning = 1
677
; IgnoreSVAError = 1
678
; IgnoreSVAFatal = 1
679
 
680
; Do not print any additional information from Severity System tasks.
681
; Only the message provided by the user is printed along with severity
682
; information.
683
; SVAPrintOnlyUserMessage = 1;
684
 
685
; Default force kind. May be freeze, drive, deposit, or default
686
; or in other terms, fixed, wired, or charged.
687
; A value of "default" will use the signal kind to determine the
688
; force kind, drive for resolved signals, freeze for unresolved signals
689
; DefaultForceKind = freeze
690
 
691
; If zero, open files when elaborated; otherwise, open files on
692
; first read or write.  Default is 0.
693
; DelayFileOpen = 1
694
 
695
; Control VHDL files opened for write.
696
;   0 = Buffered, 1 = Unbuffered
697
UnbufferedOutput = 0
698
 
699
; Control the number of VHDL files open concurrently.
700
; This number should always be less than the current ulimit
701
; setting for max file descriptors.
702
;   0 = unlimited
703
ConcurrentFileLimit = 40
704
 
705
; Control the number of hierarchical regions displayed as
706
; part of a signal name shown in the Wave window.
707
; A value of zero tells VSIM to display the full name.
708
; The default is 0.
709
; WaveSignalNameWidth = 0
710
 
711
; Turn off warnings when changing VHDL constants and generics
712
; Default is 1 to generate warning messages
713
; WarnConstantChange = 0
714
 
715
; Turn off warnings from the std_logic_arith, std_logic_unsigned
716
; and std_logic_signed packages.
717
; StdArithNoWarnings = 1
718
 
719
; Turn off warnings from the IEEE numeric_std and numeric_bit packages.
720
; NumericStdNoWarnings = 1
721
 
722
; Control the format of the (VHDL) FOR generate statement label
723
; for each iteration.  Do not quote it.
724
; The format string here must contain the conversion codes %s and %d,
725
; in that order, and no other conversion codes.  The %s represents
726
; the generate_label; the %d represents the generate parameter value
727
; at a particular generate iteration (this is the position number if
728
; the generate parameter is of an enumeration type).  Embedded whitespace
729
; is allowed (but discouraged); leading and trailing whitespace is ignored.
730
; Application of the format must result in a unique scope name over all
731
; such names in the design so that name lookup can function properly.
732
; GenerateFormat = %s__%d
733
 
734
; Specify whether checkpoint files should be compressed.
735
; The default is 1 (compressed).
736
; CheckpointCompressMode = 0
737
 
738
; Specify whether to enable SystemVerilog DPI "out-of-the-blue" calls.
739
; The term "out-of-the-blue" refers to SystemVerilog export function calls
740
; made from C functions that don't have the proper context setup
741
; (as is the case when running under "DPI-C" import functions).
742
; When this is enabled, one can call a DPI export function
743
; (but not task) from any C code.
744
; The default is 0 (disabled).
745
; DpiOutOfTheBlue = 1
746
 
747
; Specify whether continuous assignments are run before other normal priority
748
; processes scheduled in the same iteration. This event ordering minimizes race
749
; differences between optimized and non-optimized designs, and is the default
750
; behavior beginning with the 6.5 release. For pre-6.5 event ordering, set
751
; ImmediateContinuousAssign to 0.
752
; The default is 1 (enabled).
753
; ImmediateContinuousAssign = 0
754
 
755
; List of dynamically loaded objects for Verilog PLI applications
756
; Veriuser = veriuser.sl
757
 
758
; Which default VPI object model should the tool conform to?
759
; The 1364 modes are Verilog-only, for backwards compatibility with older
760
; libraries, and SystemVerilog objects are not available in these modes.
761
;
762
; In the absence of a user-specified default, the tool default is the
763
; latest available LRM behavior.
764
; Options for PliCompatDefault are:
765
;  VPI_COMPATIBILITY_VERSION_1364v1995
766
;  VPI_COMPATIBILITY_VERSION_1364v2001
767
;  VPI_COMPATIBILITY_VERSION_1364v2005
768
;  VPI_COMPATIBILITY_VERSION_1800v2005
769
;  VPI_COMPATIBILITY_VERSION_1800v2008
770
;
771
; Synonyms for each string are also recognized:
772
;  VPI_COMPATIBILITY_VERSION_1364v1995 (1995, 95, 1364v1995, 1364V1995, VL1995)
773
;  VPI_COMPATIBILITY_VERSION_1364v2001 (2001, 01, 1364v2001, 1364V2001, VL2001)
774
;  VPI_COMPATIBILITY_VERSION_1364v2005 (1364v2005, 1364V2005, VL2005)
775
;  VPI_COMPATIBILITY_VERSION_1800v2005 (2005, 05, 1800v2005, 1800V2005, SV2005)
776
;  VPI_COMPATIBILITY_VERSION_1800v2008 (2008, 08, 1800v2008, 1800V2008, SV2008)
777
 
778
 
779
; PliCompatDefault = VPI_COMPATIBILITY_VERSION_1800v2005
780
 
781
; Specify default options for the restart command. Options can be one
782
; or more of: -force -nobreakpoint -nolist -nolog -nowave -noassertions
783
; DefaultRestartOptions = -force
784
 
785
; Turn on (1) or off (0) WLF file compression.
786
; The default is 1 (compress WLF file).
787
; WLFCompress = 0
788
 
789
; Specify whether to save all design hierarchy (1) in the WLF file
790
; or only regions containing logged signals (0).
791
; The default is 0 (save only regions with logged signals).
792
; WLFSaveAllRegions = 1
793
 
794
; WLF file time limit.  Limit WLF file by time, as closely as possible,
795
; to the specified amount of simulation time.  When the limit is exceeded
796
; the earliest times get truncated from the file.
797
; If both time and size limits are specified the most restrictive is used.
798
; UserTimeUnits are used if time units are not specified.
799
; The default is 0 (no limit).  Example: WLFTimeLimit = {100 ms}
800
; WLFTimeLimit = 0
801
 
802
; WLF file size limit.  Limit WLF file size, as closely as possible,
803
; to the specified number of megabytes.  If both time and size limits
804
; are specified then the most restrictive is used.
805
; The default is 0 (no limit).
806
; WLFSizeLimit = 1000
807
 
808
; Specify whether or not a WLF file should be deleted when the
809
; simulation ends.  A value of 1 will cause the WLF file to be deleted.
810
; The default is 0 (do not delete WLF file when simulation ends).
811
; WLFDeleteOnQuit = 1
812
 
813
; Specify whether or not a WLF file should be indexed during
814
; simulation.  If set to 0, the WLF file will not be indexed.
815
; The default is 1, indexed the WLF file.
816
; WLFIndex = 0
817
 
818
; Specify whether or not a WLF file should be optimized during
819
; simulation.  If set to 0, the WLF file will not be optimized.
820
; The default is 1, optimize the WLF file.
821
; WLFOptimize = 0
822
 
823
; Specify the name of the WLF file.
824
; The default is vsim.wlf
825
; WLFFilename = vsim.wlf
826
 
827
; Specify the WLF reader cache size limit for each open WLF file.
828
; The size is giving in megabytes.  A value of 0 turns off the
829
; WLF cache.
830
; WLFSimCacheSize allows a different cache size to be set for
831
; simulation WLF file independent of post-simulation WLF file
832
; viewing.  If WLFSimCacheSize is not set it defaults to the
833
; WLFCacheSize setting.
834
; The default WLFCacheSize setting is enabled to 256M per open WLF file.
835
; WLFCacheSize = 2000
836
; WLFSimCacheSize = 500
837
 
838
; Specify the WLF file event collapse mode.
839
; 0 = Preserve all events and event order. (same as -wlfnocollapse)
840
; 1 = Only record values of logged objects at the end of a simulator iteration.
841
;     (same as -wlfcollapsedelta)
842
; 2 = Only record values of logged objects at the end of a simulator time step.
843
;     (same as -wlfcollapsetime)
844
; The default is 1.
845
; WLFCollapseMode = 0
846
 
847
; Specify whether WLF file logging can use threads on multi-processor machines
848
; if 0, no threads will be used, if 1, threads will be used if the system has
849
; more than one processor
850
; WLFUseThreads = 1
851
 
852
; Turn on/off undebuggable SystemC type warnings. Default is on.
853
; ShowUndebuggableScTypeWarning = 0
854
 
855
; Turn on/off unassociated SystemC name warnings. Default is off.
856
; ShowUnassociatedScNameWarning = 1
857
 
858
; Turn on/off SystemC IEEE 1666 deprecation warnings. Default is off.
859
; ScShowIeeeDeprecationWarnings = 1
860
 
861
; Turn on/off the check for multiple drivers on a SystemC sc_signal. Default is off.
862
; ScEnableScSignalWriteCheck = 1
863
 
864
; Set SystemC default time unit.
865
; Set to fs, ps, ns, us, ms, or sec with optional
866
; prefix of 1, 10, or 100.  The default is 1 ns.
867
; The ScTimeUnit value is honored if it is coarser than Resolution.
868
; If ScTimeUnit is finer than Resolution, it is set to the value
869
; of Resolution. For example, if Resolution is 100ps and ScTimeUnit is ns,
870
; then the default time unit will be 1 ns.  However if Resolution
871
; is 10 ns and ScTimeUnit is ns, then the default time unit will be 10 ns.
872
ScTimeUnit = ns
873
 
874
; Set SystemC sc_main stack size. The stack size is set as an integer
875
; number followed by the unit which can be Kb(Kilo-byte), Mb(Mega-byte) or
876
; Gb(Giga-byte). Default is 10 Mb. The stack size for sc_main depends
877
; on the amount of data on the sc_main() stack and the memory required
878
; to succesfully execute the longest function call chain of sc_main().
879
ScMainStackSize = 10 Mb
880
 
881
; Turn on/off execution of remainder of sc_main upon quitting the current
882
; simulation session. If the cumulative length of sc_main() in terms of
883
; simulation time units is less than the length of the current simulation
884
; run upon quit or restart, sc_main() will be in the middle of execution.
885
; This switch gives the option to execute the remainder of sc_main upon
886
; quitting simulation. The drawback of not running sc_main till the end
887
; is memory leaks for objects created by sc_main. If on, the remainder of
888
; sc_main will be executed ignoring all delays. This may cause the simulator
889
; to crash if the code in sc_main is dependent on some simulation state.
890
; Default is on.
891
ScMainFinishOnQuit = 1
892
 
893
; Set the SCV relationship name that will be used to identify phase
894
; relations.  If the name given to a transactor relation matches this
895
; name, the transactions involved will be treated as phase transactions
896
ScvPhaseRelationName = mti_phase
897
 
898
; Customize the vsim kernel shutdown behavior at the end of the simulation.
899
; Some common causes of the end of simulation are $finish (implicit or explicit),
900
; sc_stop(), tf_dofinish(), and assertion failures.
901
; This should be set to "ask", "exit", or "stop". The default is "ask".
902
; "ask"   -- In batch mode, the vsim kernel will abruptly exit.
903
;            In GUI mode, a dialog box will pop up and ask for user confirmation
904
;            whether or not to quit the simulation.
905
; "stop"  -- Cause the simulation to stay loaded in memory. This can make some
906
;            post-simulation tasks easier.
907
; "exit"  -- The simulation will abruptly exit without asking for any confirmation.
908
; "final" -- Run SystemVerilog final blocks then behave as "stop".
909
; Note: these ini variables can be overriden by the vsim command
910
;       line switch "-onfinish ".
911
OnFinish = ask
912
 
913
; Print pending deferred assertion messages.
914
; Deferred assertion messages may be scheduled after the $finish in the same
915
; time step. Deferred assertions scheduled to print after the $finish are
916
; printed before exiting with severity level NOTE since it's not known whether
917
; the assertion is still valid due to being printed in the active region
918
; instead of the reactive region where they are normally printed.
919
; OnFinishPendingAssert = 1;
920
 
921
; Print "simstats" result at the end of simulation before shutdown.
922
; If this is enabled, the simstats result will be printed out before shutdown.
923
; The default is off.
924
; PrintSimStats = 1
925
 
926
; Assertion File - alternate file for storing VHDL/PSL/Verilog assertion messages
927
; AssertFile = assert.log
928
 
929
; Run simulator in assertion debug mode. Default is off.
930
; AssertionDebug = 1
931
 
932
; Turn on/off PSL/SVA concurrent assertion pass enable.
933
; For SVA, Default is on when the assertion has a pass action block, or
934
; the vsim -assertdebug option is used and the vopt "+acc=a" flag is active.
935
; For PSL, Default is on only when vsim switch "-assertdebug" is used
936
; and the vopt "+acc=a" flag is active.
937
; AssertionPassEnable = 0
938
 
939
; Turn on/off PSL/SVA concurrent assertion fail enable. Default is on.
940
; AssertionFailEnable = 0
941
 
942
; Set PSL/SVA concurrent assertion pass limit. Default is -1.
943
; Any positive integer, -1 for infinity.
944
; AssertionPassLimit = 1
945
 
946
; Set PSL/SVA concurrent assertion fail limit. Default is -1.
947
; Any positive integer, -1 for infinity.
948
; AssertionFailLimit = 1
949
 
950
; Turn on/off PSL concurrent assertion pass log. Default is off.
951
; The flag does not affect SVA
952
; AssertionPassLog = 1
953
 
954
; Turn on/off PSL concurrent assertion fail log. Default is on.
955
; The flag does not affect SVA
956
; AssertionFailLog = 0
957
 
958
; Turn on/off SVA concurrent assertion local var printing in -assertdebug mode.  Default is on.
959
; AssertionFailLocalVarLog = 0
960
 
961
; Set action type for PSL/SVA concurrent assertion fail action. Default is continue.
962
; 0 = Continue  1 = Break  2 = Exit
963
; AssertionFailAction = 1
964
 
965
; Enable the active thread monitor in the waveform display when assertion debug is enabled.
966
; AssertionActiveThreadMonitor = 1
967
 
968
; Control how many waveform rows will be used for displaying the active threads.  Default is 5.
969
; AssertionActiveThreadMonitorLimit = 5
970
 
971
; Control how many thread start times will be preserved for ATV viewing for a given assertion
972
; instance.  Default is -1 (ALL).
973
; ATVStartTimeKeepCount = -1
974
 
975
; Turn on/off code coverage
976
; CodeCoverage = 0
977
 
978
; Count all code coverage condition and expression truth table rows that match.
979
; CoverCountAll = 1
980
 
981
; Turn off automatic inclusion of VHDL integers in toggle coverage. Default
982
; is to include them.
983
; ToggleNoIntegers = 1
984
 
985
; Set the maximum number of values that are collected for toggle coverage of
986
; VHDL integers. Default is 100;
987
; ToggleMaxIntValues = 100
988
 
989
; Set the maximum number of values that are collected for toggle coverage of
990
; Verilog real. Default is 100;
991
; ToggleMaxRealValues = 100
992
 
993
; Turn on automatic inclusion of Verilog integers in toggle coverage, except
994
; for enumeration types. Default is to include them.
995
; ToggleVlogIntegers = 0
996
 
997
; Turn on automatic inclusion of Verilog real type in toggle coverage, except
998
; for shortreal types. Default is to not include them.
999
; ToggleVlogReal = 1
1000
 
1001
; Turn on automatic inclusion of Verilog fixed-size unpacked arrays in toggle coverage.
1002
; Default is to not include them.
1003
; ToggleFixedSizeArray = 1
1004
 
1005
; Increase or decrease the maximum size of Verilog unpacked fixed-size arrays that
1006
; are included for toggle coverage. This leads to a longer simulation time with bigger
1007
; arrays covered with toggle coverage. Default is 1024.
1008
; ToggleMaxFixedSizeArray = 1024
1009
 
1010
; Treat packed vectors and structures as reg-vectors in toggle coverage. Default is 0.
1011
; TogglePackedAsVec = 0
1012
 
1013
; Treat Verilog enumerated types as reg-vectors in toggle coverage. Default is 0.
1014
; ToggleVlogEnumBits = 0
1015
 
1016
; Limit the widths of registers automatically tracked for toggle coverage. Default is 128.
1017
; For unlimited width, set to 0.
1018
; ToggleWidthLimit = 128
1019
 
1020
; Limit the counts that are tracked for toggle coverage. When all edges for a bit have
1021
; reached this count, further activity on the bit is ignored. Default is 1.
1022
; For unlimited counts, set to 0.
1023
; ToggleCountLimit = 1
1024
 
1025
; Turn on/off all PSL/SVA cover directive enables.  Default is on.
1026
; CoverEnable = 0
1027
 
1028
; Turn on/off PSL/SVA cover log.  Default is off.
1029
; CoverLog = 1
1030
 
1031
; Set "at_least" value for all PSL/SVA cover directives.  Default is 1.
1032
; CoverAtLeast = 2
1033
 
1034
; Set "limit" value for all PSL/SVA cover directives.  Default is -1.
1035
; Any positive integer, -1 for infinity.
1036
; CoverLimit = 1
1037
 
1038
; Specify the coverage database filename.
1039
; Default is "" (i.e. database is NOT automatically saved on close).
1040
; UCDBFilename = vsim.ucdb
1041
 
1042
; Specify the maximum limit for the number of Cross (bin) products reported
1043
; in XML and UCDB report against a Cross. A warning is issued if the limit
1044
; is crossed.
1045
; MaxReportRhsSVCrossProducts = 1000
1046
 
1047
; Specify the override for the "auto_bin_max" option for the Covergroups.
1048
; If not specified then value from Covergroup "option" is used.
1049
; SVCoverpointAutoBinMax = 64
1050
 
1051
; Specify the override for the value of "cross_num_print_missing"
1052
; option for the Cross in Covergroups. If not specified then value
1053
; specified in the "option.cross_num_print_missing" is used. This
1054
; is a runtime option. NOTE: This overrides any "cross_num_print_missing"
1055
; value specified by user in source file and any SVCrossNumPrintMissingDefault
1056
; specified in modelsim.ini.
1057
; SVCrossNumPrintMissing = 0
1058
 
1059
; Specify whether to use the value of "cross_num_print_missing"
1060
; option in report and GUI for the Cross in Covergroups. If not specified then
1061
; cross_num_print_missing is ignored for creating reports and displaying
1062
; covergroups in GUI. Default is 0, which means ignore "cross_num_print_missing".
1063
; UseSVCrossNumPrintMissing = 0
1064
 
1065
; Specify the override for the value of "strobe" option for the
1066
; Covergroup Type. If not specified then value in "type_option.strobe"
1067
; will be used. This is runtime option which forces "strobe" to
1068
; user specified value and supersedes user specified values in the
1069
; SystemVerilog Code. NOTE: This also overrides the compile time
1070
; default value override specified using "SVCovergroupStrobeDefault"
1071
; SVCovergroupStrobe = 0
1072
 
1073
; Override for explicit assignments in source code to "option.goal" of
1074
; SystemVerilog covergroup, coverpoint, and cross. It also overrides the
1075
; default value of "option.goal" (defined to be 100 in the SystemVerilog
1076
; LRM) and the value of modelsim.ini variable "SVCovergroupGoalDefault".
1077
; SVCovergroupGoal = 100
1078
 
1079
; Override for explicit assignments in source code to "type_option.goal" of
1080
; SystemVerilog covergroup, coverpoint, and cross. It also overrides the
1081
; default value of "type_option.goal" (defined to be 100 in the SystemVerilog
1082
; LRM) and the value of modelsim.ini variable "SVCovergroupTypeGoalDefault".
1083
; SVCovergroupTypeGoal = 100
1084
 
1085
; Enforce the 6.3 behavior of covergroup get_coverage() and get_inst_coverage()
1086
; builtin functions, and report. This setting changes the default values of
1087
; option.get_inst_coverage and type_option.merge_instances to ensure the 6.3
1088
; behavior if explicit assignments are not made on option.get_inst_coverage and
1089
; type_option.merge_instances by the user. There are two vsim command line
1090
; options, -cvg63 and -nocvg63 to override this setting from vsim command line.
1091
; The default value of this variable is 1
1092
; SVCovergroup63Compatibility = 1
1093
 
1094
; Enable or disable generation of more detailed information about the sampling
1095
; of covergroup, cross, and coverpoints. It provides the details of the number
1096
; of times the covergroup instance and type were sampled, as well as details
1097
; about why covergroup, cross and coverpoint were not covered. A non-zero value
1098
; is to enable this feature. 0 is to disable this feature. Default is 0
1099
; SVCovergroupSampleInfo = 0
1100
 
1101
; Specify the maximum number of Coverpoint bins in whole design for
1102
; all Covergroups.
1103
; MaxSVCoverpointBinsDesign = 2147483648
1104
 
1105
; Specify maximum number of Coverpoint bins in any instance of a Covergroup
1106
; MaxSVCoverpointBinsInst = 2147483648
1107
 
1108
; Specify the maximum number of Cross bins in whole design for
1109
; all Covergroups.
1110
; MaxSVCrossBinsDesign = 2147483648
1111
 
1112
; Specify maximum number of Cross bins in any instance of a Covergroup
1113
; MaxSVCrossBinsInst = 2147483648
1114
 
1115
; Set weight for all PSL/SVA cover directives.  Default is 1.
1116
; CoverWeight = 2
1117
 
1118
; Check vsim plusargs.  Default is 0 (off).
1119
; 0 = Don't check plusargs
1120
; 1 = Warning on unrecognized plusarg
1121
; 2 = Error and exit on unrecognized plusarg
1122
; CheckPlusargs = 1
1123
 
1124
; Load the specified shared objects with the RTLD_GLOBAL flag.
1125
; This gives global visibility to all symbols in the shared objects,
1126
; meaning that subsequently loaded shared objects can bind to symbols
1127
; in the global shared objects.  The list of shared objects should
1128
; be whitespace delimited.  This option is not supported on the
1129
; Windows or AIX platforms.
1130
; GlobalSharedObjectList = example1.so example2.so example3.so
1131
 
1132
; Run the 0in tools from within the simulator.
1133
; Default is off.
1134
; ZeroIn = 1
1135
 
1136
; Set the options to be passed to the 0in runtime tool.
1137
; Default value set to "".
1138
; ZeroInOptions = ""
1139
 
1140
; Initial seed for the Random Number Generator (RNG) of the root thread (SystemVerilog).
1141
; Sv_Seed = 0
1142
 
1143
; Maximum size of dynamic arrays that are resized during randomize().
1144
; The default is 1000. A value of 0 indicates no limit.
1145
; SolveArrayResizeMax = 1000
1146
 
1147
; Error message severity when randomize() failure is detected (SystemVerilog).
1148
; The default is 0 (no error).
1149
; 0 = No error  1 = Warning  2 = Error  3 = Failure  4 = Fatal
1150
; SolveFailSeverity = 0
1151
 
1152
; Enable/disable debug information for randomize() failures (SystemVerilog).
1153
; The default is 0 (disabled). Set to 1 to enable.
1154
; SolveFailDebug = 0
1155
 
1156
; When SolveFailDebug is enabled, this value specifies the algorithm used to
1157
; discover conflicts between constraints for randomize() failures.
1158
; The default is "many".
1159
;
1160
; Valid schemes are:
1161
;    "many" = best for determining conflicts due to many related constraints
1162
;    "few"  = best for determining conflicts due to few related constraints
1163
;
1164
; SolveFailDebugScheme = many
1165
 
1166
; When SolveFailDebug is enabled and SolveFailDebugScheme is "few", this value
1167
; specifies the maximum number of constraint subsets that will be tested for
1168
; conflicts.
1169
; The default is 0 (no limit).
1170
; SolveFailDebugLimit = 0
1171
 
1172
; When SolveFailDebug is enabled and SolveFailDebugScheme is "few", this value
1173
; specifies the maximum size of constraint subsets that will be tested for
1174
; conflicts.
1175
; The default value is 0 (no limit).
1176
; SolveFailDebugMaxSet = 0
1177
 
1178
; Maximum size of the solution graph that may be generated during randomize().
1179
; This value can be used to force randomize() to abort if the memory
1180
; requirements of the constraint scenario exceeds the specified limit. This
1181
; value is specified in 1000s of nodes.
1182
; The default is 10000. A value of 0 indicates no limit.
1183
; SolveGraphMaxSize = 10000
1184
 
1185
; Maximum number of evaluations that may be performed on the solution graph
1186
; generated during randomize(). This value can be used to force randomize() to
1187
; abort if the complexity of the constraint scenario (in time) exceeds the
1188
; specified limit. This value is specified in 10000s of evaluations.
1189
; The default is 10000. A value of 0 indicates no limit.
1190
; SolveGraphMaxEval = 10000
1191
 
1192
; Use SolveFlags to specify options that will guide the behavior of the
1193
; constraint solver. These options may improve the performance of the
1194
; constraint solver for some testcases, and decrease the performance of
1195
; the constraint solver for others.
1196
; The default value is "" (no options).
1197
;
1198
; Valid flags are:
1199
;    c = interleave bits of concatenation operands
1200
;    i = disable bit interleaving for >, >=, <, <= constraints
1201
;    n = disable bit interleaving for all constraints
1202
;    r = reverse bit interleaving
1203
;
1204
; SolveFlags =
1205
 
1206
; Specify random sequence compatiblity with a prior letter release. This
1207
; option is used to get the same random sequences during simulation as
1208
; as a prior letter release. Only prior letter releases (of the current
1209
; number release) are allowed.
1210
; Note: To achieve the same random sequences, solver optimizations and/or
1211
; bug fixes introduced since the specified release may be disabled -
1212
; yielding the performance / behavior of the prior release.
1213
; Default value set to "" (random compatibility not required).
1214
; SolveRev =
1215
 
1216
; Environment variable expansion of command line arguments has been depricated
1217
; in favor shell level expansion.  Universal environment variable expansion
1218
; inside -f files is support and continued support for MGC Location Maps provide
1219
; alternative methods for handling flexible pathnames.
1220
; The following line may be uncommented and the value set to 1 to re-enable this
1221
; deprecated behavior.  The default value is 0.
1222
; DeprecatedEnvironmentVariableExpansion = 0
1223
 
1224
; Turn on/off collapsing of bus ports in VCD dumpports output
1225
DumpportsCollapse = 1
1226
 
1227
; Location of Multi-Level Verification Component (MVC) installation.
1228
; The default location is the product installation directory.
1229
; MvcHome = $MODEL_TECH/...
1230
 
1231
[lmc]
1232
; The simulator's interface to Logic Modeling's SmartModel SWIFT software
1233
libsm = $MODEL_TECH/libsm.sl
1234
; The simulator's interface to Logic Modeling's SmartModel SWIFT software (Windows NT)
1235
; libsm = $MODEL_TECH/libsm.dll
1236
;  Logic Modeling's SmartModel SWIFT software (HP 9000 Series 700)
1237
; libswift = $LMC_HOME/lib/hp700.lib/libswift.sl
1238
;  Logic Modeling's SmartModel SWIFT software (IBM RISC System/6000)
1239
; libswift = $LMC_HOME/lib/ibmrs.lib/swift.o
1240
;  Logic Modeling's SmartModel SWIFT software (Sun4 Solaris)
1241
; libswift = $LMC_HOME/lib/sun4Solaris.lib/libswift.so
1242
;  Logic Modeling's SmartModel SWIFT software (Windows NT)
1243
; libswift = $LMC_HOME/lib/pcnt.lib/libswift.dll
1244
;  Logic Modeling's SmartModel SWIFT software (non-Enterprise versions of Linux)
1245
; libswift = $LMC_HOME/lib/x86_linux.lib/libswift.so
1246
;  Logic Modeling's SmartModel SWIFT software (Enterprise versions of Linux)
1247
; libswift = $LMC_HOME/lib/linux.lib/libswift.so
1248
 
1249
; The simulator's interface to Logic Modeling's hardware modeler SFI software
1250
libhm = $MODEL_TECH/libhm.sl
1251
; The simulator's interface to Logic Modeling's hardware modeler SFI software (Windows NT)
1252
; libhm = $MODEL_TECH/libhm.dll
1253
;  Logic Modeling's hardware modeler SFI software (HP 9000 Series 700)
1254
; libsfi = /lib/hp700/libsfi.sl
1255
;  Logic Modeling's hardware modeler SFI software (IBM RISC System/6000)
1256
; libsfi = /lib/rs6000/libsfi.a
1257
;  Logic Modeling's hardware modeler SFI software (Sun4 Solaris)
1258
; libsfi = /lib/sun4.solaris/libsfi.so
1259
;  Logic Modeling's hardware modeler SFI software (Windows NT)
1260
; libsfi = /lib/pcnt/lm_sfi.dll
1261
;  Logic Modeling's hardware modeler SFI software (Linux)
1262
; libsfi = /lib/linux/libsfi.so
1263
 
1264
[msg_system]
1265
; Change a message severity or suppress a message.
1266
; The format is:  = [,...]
1267
; suppress can be used to achieve +nowarn functionality
1268
; The format is: suppress = ,,[,,...]
1269
; Examples:
1270
;   note = 3009
1271
;   warning = 3033
1272
;   error = 3010,3016
1273
;   fatal = 3016,3033
1274
;   suppress = 3009,3016,3043
1275
;   suppress = 3009,CNNODP,3043,TFMPC
1276
; The command verror  can be used to get the complete
1277
; description of a message.
1278
 
1279
; Control transcripting of Verilog display system task messages and
1280
; PLI/FLI print function call messages.  The system tasks include
1281
; $display[bho], $strobe[bho], Smonitor{bho], and $write[bho].  They
1282
; also include the analogous file I/O tasks that write to STDOUT
1283
; (i.e. $fwrite or $fdisplay).  The PLI/FLI calls include io_printf,
1284
; vpi_printf, mti_PrintMessage, and mti_PrintFormatted.  The default
1285
; is to have messages appear only in the transcript.  The other
1286
; settings are to send messages to the wlf file only (messages that
1287
; are recorded in the wlf file can be viewed in the MsgViewer) or
1288
; to both the transcript and the wlf file.  The valid values are
1289
;    tran  {transcript only (default)}
1290
;    wlf   {wlf file only}
1291
;    both  {transcript and wlf file}
1292
; displaymsgmode = tran
1293
 
1294
; Control transcripting of elaboration/runtime messages not
1295
; addressed by the displaymsgmode setting.  The default is to
1296
; have messages appear in the transcript and recorded in the wlf
1297
; file (messages that are recorded in the wlf file can be viewed
1298
; in the MsgViewer).  The other settings are to send messages
1299
; only to the transcript or only to the wlf file.  The valid
1300
; values are
1301
;    both  {default}
1302
;    tran  {transcript only}
1303
;    wlf   {wlf file only}
1304
; msgmode = both

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.