OpenCores
URL https://opencores.org/ocsvn/sdhc-sc-core/sdhc-sc-core/trunk

Subversion Repositories sdhc-sc-core

[/] [sdhc-sc-core/] [trunk/] [grpSdVerification/] [unitSdVerificationTestbench/] [src/] [SdVerificationTestbench.sv] - Blame information for rev 185

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 164 rkastl
// SDHC-SC-Core
2
// Secure Digital High Capacity Self Configuring Core
3
//
4 170 rkastl
// (C) Copyright 2010, Rainer Kastl
5
// All rights reserved.
6 164 rkastl
//
7 170 rkastl
// Redistribution and use in source and binary forms, with or without
8
// modification, are permitted provided that the following conditions are met:
9
//     * Redistributions of source code must retain the above copyright
10
//       notice, this list of conditions and the following disclaimer.
11
//     * Redistributions in binary form must reproduce the above copyright
12
//       notice, this list of conditions and the following disclaimer in the
13
//       documentation and/or other materials provided with the distribution.
14
//     * Neither the name of the  nor the
15
//       names of its contributors may be used to endorse or promote products
16
//       derived from this software without specific prior written permission.
17 164 rkastl
//
18 170 rkastl
// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS  "AS IS" AND
19
// ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
20
// WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
21
// DISCLAIMED. IN NO EVENT SHALL  BE LIABLE FOR ANY
22
// DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
23
// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
24
// LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND
25
// ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
26
// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
27
// SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
28 164 rkastl
//
29
// File        : SdVerificationTestbench.sv
30
// Owner       : Rainer Kastl
31
// Description : Testbench for verification of SDHC-SC-Core
32
// Links       :
33
//
34 46 rkastl
 
35 135 rkastl
`ifndef SDVERIFICATIONTESTBENCH
36
`define SDVERIFICATIONTESTBENCH
37
 
38 122 rkastl
`define cWishboneWidth 32
39
const integer cWishboneWidth = 32;
40
const logic   cAsserted = 1;
41
const logic   cNegated  = 0;
42
const logic   cDontCare = 'X;
43
 
44
typedef logic [2:0] aCTI;
45
const aCTI ClassicCycle = "000";
46
 
47 151 rkastl
`include "Harness.sv";
48 135 rkastl
`include "SdCardModel.sv";
49 46 rkastl
 
50 153 rkastl
program Test(ISdBus SdBus, IWishboneBus WbBus);
51 46 rkastl
        initial begin
52 153 rkastl
                SdCardModel card;
53
                Harness harness;
54 156 rkastl
                Logger log;
55 50 rkastl
 
56 156 rkastl
                log = new();
57 153 rkastl
                card = new();
58
                harness = new(SdBus, WbBus);
59
                harness.Card = card;
60 46 rkastl
 
61 153 rkastl
                harness.start();
62 160 rkastl
                #20ms;
63 156 rkastl
 
64
                log.terminate();
65 46 rkastl
    end
66
endprogram
67
 
68
module Testbed();
69 145 rkastl
        logic Clk = 0;
70 165 rkastl
        logic RstSync = 1;
71 145 rkastl
 
72 153 rkastl
        ISdBus CardInterface();
73
        IWishboneBus IWbBus();
74 46 rkastl
 
75 122 rkastl
        SdTop top(
76 153 rkastl
                        IWbBus.CLK_I,
77
                        IWbBus.RST_I,
78
                        IWbBus.CYC_O,
79
                        IWbBus.LOCK_O,
80
                        IWbBus.STB_O,
81
                        IWbBus.WE_O,
82
                        IWbBus.CTI_O,
83
                        IWbBus.BTE_O,
84
                        IWbBus.SEL_O,
85
                        IWbBus.ADR_O,
86
                        IWbBus.DAT_O,
87
                        IWbBus.DAT_I,
88
                        IWbBus.ACK_I,
89
                        IWbBus.ERR_I,
90
                        IWbBus.RTY_I,
91 145 rkastl
                        Clk,
92 165 rkastl
                        RstSync,
93 122 rkastl
                        CardInterface.Cmd,
94
                        CardInterface.SClk,
95
                        CardInterface.Data);
96 46 rkastl
 
97 145 rkastl
        always #5 Clk <= ~Clk;
98 165 rkastl
        always #7 IWbBus.CLK_I <= ~IWbBus.CLK_I;
99 46 rkastl
 
100 145 rkastl
        initial begin
101 165 rkastl
                #20 RstSync <= 0;
102
                #28 IWbBus.RST_I <= 0;
103 145 rkastl
        end
104
 
105 153 rkastl
        Test tb(CardInterface, IWbBus);
106 46 rkastl
 
107
endmodule
108 135 rkastl
 
109
`endif

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.