OpenCores
URL https://opencores.org/ocsvn/sdhc-sc-core/sdhc-sc-core/trunk

Subversion Repositories sdhc-sc-core

[/] [sdhc-sc-core/] [trunk/] [grpStrobesClocks/] [unitCounter/] [src/] [Counter-Rtl-a.vhdl] - Blame information for rev 185

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 164 rkastl
-- SDHC-SC-Core
2
-- Secure Digital High Capacity Self Configuring Core
3 107 rkastl
-- 
4 170 rkastl
-- (C) Copyright 2010, Rainer Kastl
5
-- All rights reserved.
6 164 rkastl
-- 
7 170 rkastl
-- Redistribution and use in source and binary forms, with or without
8
-- modification, are permitted provided that the following conditions are met:
9
--     * Redistributions of source code must retain the above copyright
10
--       notice, this list of conditions and the following disclaimer.
11
--     * Redistributions in binary form must reproduce the above copyright
12
--       notice, this list of conditions and the following disclaimer in the
13
--       documentation and/or other materials provided with the distribution.
14
--     * Neither the name of the <organization> nor the
15
--       names of its contributors may be used to endorse or promote products
16
--       derived from this software without specific prior written permission.
17 164 rkastl
-- 
18 170 rkastl
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS  "AS IS" AND
19
-- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
20
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
21
-- DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY
22
-- DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
23
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
24
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND
25
-- ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
26
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
27
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
28 164 rkastl
-- 
29
-- File        : Counter-Rtl-a.vhdl
30
-- Owner       : Rainer Kastl
31
-- Description : Generic counter
32
-- Links       : 
33
-- 
34 107 rkastl
 
35
architecture Rtl of Counter is
36
 
37
type aReg is record
38
        Counter : unsigned(gBitWidth - 1 downto 0);
39
        Enabled : std_ulogic;
40
end record aReg;
41
 
42
constant cDefaultReg : aReg := (
43
Counter => (others => '1'),
44
Enabled => cInactivated);
45
 
46
signal R : aReg := cDefaultReg;
47
 
48
begin
49
 
50 165 rkastl
        Regs : process (iClk)
51 107 rkastl
        begin
52 165 rkastl
                if (iClk'event and iClk = cActivated) then
53
                        if (iRstSync = cActivated) then
54
                                R <= cDefaultReg;
55
                        else
56
                                oStrobe <= cInactivated;
57 107 rkastl
 
58 165 rkastl
                                if (iDisable = cActivated) then
59
                                        R.Enabled <= cInactivated;
60
                                        R.Counter <= to_unsigned(0, R.Counter'length);
61 107 rkastl
 
62 165 rkastl
                                elsif (iEnable = cActivated or R.Enabled = cActivated) then
63
                                        R.Enabled <= cActivated;
64 107 rkastl
 
65 165 rkastl
                                        if (R.Counter = iMax) then
66
                                                R.Counter <= to_unsigned(0, R.Counter'length);
67
                                                oStrobe   <= cActivated;
68
                                                R.Enabled <= cInactivated;
69 107 rkastl
 
70 165 rkastl
                                        else
71
                                                R.Counter <= R.Counter + 1;
72
                                        end if;
73
 
74 107 rkastl
                                end if;
75
                        end if;
76
                end if;
77
        end process Regs;
78
 
79
end architecture Rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.