OpenCores
URL https://opencores.org/ocsvn/sdhc-sc-core/sdhc-sc-core/trunk

Subversion Repositories sdhc-sc-core

[/] [sdhc-sc-core/] [trunk/] [grpStrobesClocks/] [unitStrobeGen/] [src/] [StrobeGen-Rtl-a.vhdl] - Blame information for rev 185

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 164 rkastl
-- SDHC-SC-Core
2
-- Secure Digital High Capacity Self Configuring Core
3
-- 
4 170 rkastl
-- (C) Copyright 2010, Rainer Kastl
5
-- All rights reserved.
6 164 rkastl
-- 
7 170 rkastl
-- Redistribution and use in source and binary forms, with or without
8
-- modification, are permitted provided that the following conditions are met:
9
--     * Redistributions of source code must retain the above copyright
10
--       notice, this list of conditions and the following disclaimer.
11
--     * Redistributions in binary form must reproduce the above copyright
12
--       notice, this list of conditions and the following disclaimer in the
13
--       documentation and/or other materials provided with the distribution.
14
--     * Neither the name of the <organization> nor the
15
--       names of its contributors may be used to endorse or promote products
16
--       derived from this software without specific prior written permission.
17 164 rkastl
-- 
18 170 rkastl
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS  "AS IS" AND
19
-- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
20
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
21
-- DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY
22
-- DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
23
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
24
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND
25
-- ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
26
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
27
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
28 164 rkastl
-- 
29
-- File        : StrobeGen-Rtl-a.vhdl
30
-- Owner       : Rainer Kastl
31
-- Description : 
32
-- Links       : See EDS at FH Hagenberg
33
-- 
34
 
35 79 rkastl
architecture Rtl of StrobeGen is
36
 
37 121 rkastl
        constant max       : natural                           := gClkFrequency/(1 sec/ gStrobeCycleTime);
38
        constant cBitWidth : natural                           := LogDualis(max);  -- Bitwidth
39
        signal   Counter   : unsigned (cBitWidth - 1 downto 0) := (others => '0');
40 79 rkastl
 
41
begin  -- architecture Rtl
42
 
43 121 rkastl
        StateReg : process (iClk, inResetAsync) is
44
        begin  -- process StateReg
45
                if inResetAsync = cnActivated then  -- asynchronous reset (active low)
46
                        Counter <= (others => '0');
47
                        oStrobe <= cInactivated;
48
                elsif iClk'event and iClk = cActivated then  -- rising clock edge
49
                        if (iRstSync = cActivated) then
50
                                Counter <= (others => '0');
51
                                oStrobe <= cInactivated;
52
 
53
                        else
54
                                Counter <= Counter + 1;
55
                                if Counter < max - 1 then
56
                                        oStrobe <= cInactivated;
57
                                else
58
                                        oStrobe <= cActivated;
59
                                        Counter <= TO_UNSIGNED(0, cBitWidth);
60
                                end if;
61
                        end if;
62
                end if;
63
        end process StateReg;
64 79 rkastl
end architecture Rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.