OpenCores
URL https://opencores.org/ocsvn/sdhc-sc-core/sdhc-sc-core/trunk

Subversion Repositories sdhc-sc-core

[/] [sdhc-sc-core/] [trunk/] [grpWishbone/] [unitWishboneBFM/] [src/] [IWishboneBus.sv] - Blame information for rev 185

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 164 rkastl
// SDHC-SC-Core
2
// Secure Digital High Capacity Self Configuring Core
3
//
4 170 rkastl
// (C) Copyright 2010, Rainer Kastl
5
// All rights reserved.
6 164 rkastl
//
7 170 rkastl
// Redistribution and use in source and binary forms, with or without
8
// modification, are permitted provided that the following conditions are met:
9
//     * Redistributions of source code must retain the above copyright
10
//       notice, this list of conditions and the following disclaimer.
11
//     * Redistributions in binary form must reproduce the above copyright
12
//       notice, this list of conditions and the following disclaimer in the
13
//       documentation and/or other materials provided with the distribution.
14
//     * Neither the name of the  nor the
15
//       names of its contributors may be used to endorse or promote products
16
//       derived from this software without specific prior written permission.
17 164 rkastl
//
18 170 rkastl
// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS  "AS IS" AND
19
// ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
20
// WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
21
// DISCLAIMED. IN NO EVENT SHALL  BE LIABLE FOR ANY
22
// DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
23
// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
24
// LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND
25
// ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
26
// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
27
// SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
28 164 rkastl
//
29
// File        : IWishboneBus.sv
30
// Owner       : Rainer Kastl
31
// Description : Wishbone bus
32
// Links       : Wishbone Spec R.3B
33
//
34 120 rkastl
 
35 153 rkastl
`ifndef IWISHBONEBUS
36
`define IWISHBONEBUS
37 135 rkastl
 
38 153 rkastl
interface IWishboneBus;
39 120 rkastl
 
40
                logic                                            ERR_I;
41
                logic                                                                                            RTY_I;
42
                logic                                                                                            CLK_I = 1;
43 157 rkastl
                logic RST_I = 1;
44 120 rkastl
                logic                                                                                            ACK_I;
45
                logic [`cWishboneWidth-1 : 0]                                            DAT_I;
46
 
47
                logic                                                                                            CYC_O;
48
                logic [6:4]                                              ADR_O;
49
                logic [`cWishboneWidth-1 : 0]                                            DAT_O;
50
                logic [`cWishboneWidth/`cWishboneWidth-1 : 0] SEL_O;
51
                logic                                                                                            STB_O;
52
                logic [`cWishboneWidth-1 : 0]                                            TGA_O;
53
                logic [`cWishboneWidth-1 : 0]                                            TGC_O;
54
                logic                                                                                            TGD_O;
55
                logic                                                                                            WE_O;
56
                logic                                                                                            LOCK_O;
57
                aCTI                                                                                             CTI_O;
58
                logic [1 : 0]                                                                            BTE_O;
59
 
60
                // Masters view of the interface
61
                clocking cbMaster @(posedge CLK_I);
62
                        input ERR_I, RTY_I, ACK_I, DAT_I;
63
                        output CYC_O, ADR_O, DAT_O, SEL_O, STB_O, TGA_O, TGC_O, TGD_O, WE_O, LOCK_O, CTI_O, RST_I;
64
                endclocking
65
                modport Master (
66
                        input CLK_I, clocking cbMaster
67
                );
68
 
69
                // Slaves view of the interface
70
                modport Slave (
71
                        input CLK_I, RST_I, CYC_O, ADR_O, DAT_O, SEL_O, STB_O, TGA_O, TGC_O, TGD_O, WE_O, LOCK_O, CTI_O,
72
                        output ERR_I, RTY_I, ACK_I, DAT_I
73
                );
74
 
75
endinterface;
76
 
77 135 rkastl
`endif
78
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.