OpenCores
URL https://opencores.org/ocsvn/sgmii/sgmii/trunk

Subversion Repositories sgmii

[/] [sgmii/] [trunk/] [sim/] [BFMs/] [SGMII_altera/] [sgmii.vo] - Blame information for rev 20

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 9 jefflieu
//IP Functional Simulation Model
2 20 jefflieu
//VERSION_BEGIN 12.0SP2 cbx_mgl 2012:10:19:19:54:28:SJ cbx_simgen 2012:10:19:19:52:08:SJ  VERSION_END
3 9 jefflieu
// synthesis VERILOG_INPUT_VERSION VERILOG_2001
4
// altera message_off 10463
5
 
6
 
7
 
8 20 jefflieu
// Copyright (C) 1991-2012 Altera Corporation
9 9 jefflieu
// Your use of Altera Corporation's design tools, logic functions
10
// and other software and tools, and its AMPP partner logic
11
// functions, and any output files from any of the foregoing
12
// (including device programming or simulation files), and any
13
// associated documentation or information are expressly subject
14
// to the terms and conditions of the Altera Program License
15
// Subscription Agreement, Altera MegaCore Function License
16
// Agreement, or other applicable license agreement, including,
17
// without limitation, that your use is for the sole purpose of
18
// programming logic devices manufactured by Altera and sold by
19
// Altera or its authorized distributors.  Please refer to the
20
// applicable agreement for further details.
21
 
22
// You may only use these simulation model output files for simulation
23
// purposes and expressly not for synthesis or any other purposes (in which
24
// event Altera disclaims all warranties of any kind).
25
 
26
 
27
//synopsys translate_off
28
 
29 20 jefflieu
//synthesis_resources = altera_std_synchronizer 8 altera_std_synchronizer_bundle 3 altpll 1 altsyncram 2 cycloneiv_hssi_calibration_block 1 cycloneiv_hssi_cmu 1 cycloneiv_hssi_rx_pcs 1 cycloneiv_hssi_rx_pma 1 cycloneiv_hssi_tx_pcs 1 cycloneiv_hssi_tx_pma 1 lut 942 mux21 1096 oper_add 27 oper_decoder 4 oper_less_than 11 oper_mux 16 oper_selector 42
30 9 jefflieu
`timescale 1 ps / 1 ps
31
module  sgmii
32
        (
33
        address,
34
        clk,
35
        gmii_rx_d,
36
        gmii_rx_dv,
37
        gmii_rx_err,
38
        gmii_tx_d,
39
        gmii_tx_en,
40
        gmii_tx_err,
41
        gxb_cal_blk_clk,
42
        gxb_pwrdn_in,
43
        hd_ena,
44
        led_an,
45
        led_char_err,
46
        led_col,
47
        led_crs,
48
        led_disp_err,
49
        led_link,
50
        mii_col,
51
        mii_crs,
52
        mii_rx_d,
53
        mii_rx_dv,
54
        mii_rx_err,
55
        mii_tx_d,
56
        mii_tx_en,
57
        mii_tx_err,
58
        pcs_pwrdn_out,
59
        read,
60
        readdata,
61
        reconfig_busy,
62
        reconfig_clk,
63
        reconfig_fromgxb,
64
        reconfig_togxb,
65
        ref_clk,
66
        reset,
67
        reset_rx_clk,
68
        reset_tx_clk,
69
        rx_clk,
70
        rx_clkena,
71
        rx_recovclkout,
72
        rxp,
73
        set_10,
74
        set_100,
75
        set_1000,
76
        tx_clk,
77
        tx_clkena,
78
        txp,
79
        waitrequest,
80
        write,
81
        writedata) /* synthesis synthesis_clearbox=1 */;
82
        input   [4:0]  address;
83
        input   clk;
84
        output   [7:0]  gmii_rx_d;
85
        output   gmii_rx_dv;
86
        output   gmii_rx_err;
87
        input   [7:0]  gmii_tx_d;
88
        input   gmii_tx_en;
89
        input   gmii_tx_err;
90
        input   gxb_cal_blk_clk;
91
        input   gxb_pwrdn_in;
92
        output   hd_ena;
93
        output   led_an;
94
        output   led_char_err;
95
        output   led_col;
96
        output   led_crs;
97
        output   led_disp_err;
98
        output   led_link;
99
        output   mii_col;
100
        output   mii_crs;
101
        output   [3:0]  mii_rx_d;
102
        output   mii_rx_dv;
103
        output   mii_rx_err;
104
        input   [3:0]  mii_tx_d;
105
        input   mii_tx_en;
106
        input   mii_tx_err;
107
        output   pcs_pwrdn_out;
108
        input   read;
109
        output   [15:0]  readdata;
110
        input   reconfig_busy;
111
        input   reconfig_clk;
112 20 jefflieu
        output   [4:0]  reconfig_fromgxb;
113 9 jefflieu
        input   [3:0]  reconfig_togxb;
114
        input   ref_clk;
115
        input   reset;
116
        input   reset_rx_clk;
117
        input   reset_tx_clk;
118
        output   rx_clk;
119
        output   rx_clkena;
120
        output   rx_recovclkout;
121
        input   rxp;
122
        output   set_10;
123
        output   set_100;
124
        output   set_1000;
125
        output   tx_clk;
126
        output   tx_clkena;
127
        output   txp;
128
        output   waitrequest;
129
        input   write;
130
        input   [15:0]  writedata;
131
 
132 20 jefflieu
        wire  wire_n1i10i_dout;
133
        wire  wire_n1i10O_dout;
134
        wire  wire_n1i11O_dout;
135 9 jefflieu
        wire  wire_n1i1ii_dout;
136 20 jefflieu
        wire  wire_nlili0O_dout;
137
        wire  wire_nliliii_dout;
138
        wire  wire_nliliil_dout;
139
        wire  wire_nliliiO_dout;
140
        wire  [1:0]   wire_n01ill_dout;
141
        wire  [1:0]   wire_n01ilO_dout;
142
        wire  [15:0]   wire_n1i10l_dout;
143
        wire  [5:0]   wire_nl01O_clk;
144
        wire  wire_nl01O_fref;
145
        wire  wire_nl01O_icdrclk;
146
        wire  wire_nl01O_locked;
147 9 jefflieu
        wire  [9:0]   wire_n00OOO_q_b;
148
        wire  [9:0]   wire_ni1O0i_q_b;
149 20 jefflieu
        wire  wire_nl0iO_nonusertocmu;
150
        wire  wire_nl0il_dpriodisableout;
151
        wire  wire_nl0il_dprioout;
152
        wire  wire_nl0il_quadresetout;
153
        wire  [3:0]   wire_nl0il_rxanalogresetout;
154
        wire  [3:0]   wire_nl0il_rxcrupowerdown;
155
        wire  [3:0]   wire_nl0il_rxdigitalresetout;
156
        wire  [3:0]   wire_nl0il_rxibpowerdown;
157
        wire  [1599:0]   wire_nl0il_rxpcsdprioout;
158
        wire  [1199:0]   wire_nl0il_rxpmadprioout;
159
        wire  [3:0]   wire_nl0il_txanalogresetout;
160
        wire  [3:0]   wire_nl0il_txdetectrxpowerdown;
161
        wire  [3:0]   wire_nl0il_txdigitalresetout;
162
        wire  [3:0]   wire_nl0il_txdividerpowerdown;
163
        wire  [3:0]   wire_nl0il_txobpowerdown;
164
        wire  [599:0]   wire_nl0il_txpcsdprioout;
165
        wire  [1199:0]   wire_nl0il_txpmadprioout;
166
        wire  wire_nl0ii_cdrctrllocktorefclkout;
167
        wire  wire_nl0ii_clkout;
168
        wire  [1:0]   wire_nl0ii_ctrldetect;
169
        wire  [19:0]   wire_nl0ii_dataout;
170
        wire  [1:0]   wire_nl0ii_disperr;
171
        wire  [399:0]   wire_nl0ii_dprioout;
172
        wire  [1:0]   wire_nl0ii_errdetect;
173
        wire  [1:0]   wire_nl0ii_patterndetect;
174
        wire  wire_nl0ii_rlv;
175
        wire  [1:0]   wire_nl0ii_runningdisp;
176
        wire  [1:0]   wire_nl0ii_syncstatus;
177
        wire  wire_nl00O_clockout;
178
        wire  wire_nl00O_diagnosticlpbkout;
179
        wire  [299:0]   wire_nl00O_dprioout;
180
        wire  wire_nl00O_freqlocked;
181
        wire  [9:0]   wire_nl00O_recoverdataout;
182
        wire  wire_nl00O_reverselpbkout;
183
        wire  wire_nl00O_signaldetect;
184
        wire  wire_nl00l_clkout;
185
        wire  [9:0]   wire_nl00l_dataout;
186
        wire  [149:0]   wire_nl00l_dprioout;
187
        wire  wire_nl00l_txdetectrx;
188
        wire  wire_nl00i_clockout;
189
        wire  wire_nl00i_dataout;
190
        wire  [299:0]   wire_nl00i_dprioout;
191
        wire  wire_nl00i_seriallpbkout;
192
        reg     nli000i55;
193
        reg     nli000i56;
194
        reg     nli001O57;
195
        reg     nli001O58;
196
        reg     nli010i59;
197
        reg     nli010i60;
198
        reg     nli011l63;
199
        reg     nli011l64;
200
        reg     nli011O61;
201
        reg     nli011O62;
202
        reg     nli0l0i53;
203
        reg     nli0l0i54;
204
        reg     nli0lii51;
205
        reg     nli0lii52;
206
        reg     nli0liO49;
207
        reg     nli0liO50;
208
        reg     nli0lll47;
209
        reg     nli0lll48;
210
        reg     nli0lOi45;
211
        reg     nli0lOi46;
212
        reg     nli0O0i41;
213
        reg     nli0O0i42;
214
        reg     nli0O1l43;
215
        reg     nli0O1l44;
216
        reg     nli0Oii39;
217
        reg     nli0Oii40;
218
        reg     nli0OiO37;
219
        reg     nli0OiO38;
220
        reg     nli0OlO35;
221
        reg     nli0OlO36;
222
        reg     nli0OOl33;
223
        reg     nli0OOl34;
224
        reg     nli1llO79;
225
        reg     nli1llO80;
226
        reg     nli1lOi77;
227
        reg     nli1lOi78;
228
        reg     nli1O0O75;
229
        reg     nli1O0O76;
230
        reg     nli1Oii73;
231
        reg     nli1Oii74;
232
        reg     nli1Oil71;
233
        reg     nli1Oil72;
234
        reg     nli1OlO69;
235
        reg     nli1OlO70;
236
        reg     nli1OOi67;
237
        reg     nli1OOi68;
238
        reg     nli1OOl65;
239
        reg     nli1OOl66;
240
        reg     nlii00l13;
241
        reg     nlii00l14;
242
        reg     nlii01i19;
243
        reg     nlii01i20;
244
        reg     nlii01l17;
245
        reg     nlii01l18;
246
        reg     nlii01O15;
247
        reg     nlii01O16;
248
        reg     nlii0ii11;
249
        reg     nlii0ii12;
250
        reg     nlii0il10;
251
        reg     nlii0il9;
252
        reg     nlii0Oi7;
253
        reg     nlii0Oi8;
254
        reg     nlii0Ol5;
255
        reg     nlii0Ol6;
256
        reg     nlii0OO3;
257
        reg     nlii0OO4;
258
        reg     nlii10i29;
259
        reg     nlii10i30;
260
        reg     nlii10O27;
261
        reg     nlii10O28;
262
        reg     nlii11l31;
263
        reg     nlii11l32;
264
        reg     nlii1iO25;
265
        reg     nlii1iO26;
266
        reg     nlii1ll23;
267
        reg     nlii1ll24;
268
        reg     nlii1Ol21;
269
        reg     nlii1Ol22;
270
        reg     nliii1l1;
271
        reg     nliii1l2;
272
        reg     n00iO;
273
        reg     n011l;
274
        reg     n011O;
275 9 jefflieu
        reg     n01ll;
276 20 jefflieu
        reg     n01Ol;
277
        reg     n01OO;
278 9 jefflieu
        reg     n10ii;
279 20 jefflieu
        reg     n10il;
280
        reg     n11OO;
281
        reg     n1i1l;
282
        reg     n1l1i;
283
        reg     n1O0i;
284
        reg     n00ll;
285
        reg     n01lO;
286
        reg     n101i;
287
        reg     n10lO;
288 9 jefflieu
        reg     n1i0O;
289 20 jefflieu
        reg     n1iiO;
290 9 jefflieu
        reg     n1ill;
291 20 jefflieu
        reg     n1iOO;
292 9 jefflieu
        reg     n1l0O;
293 20 jefflieu
        reg     n1lii;
294
        reg     n1llO;
295
        reg     n1O1i;
296
        reg     n1O1l;
297 9 jefflieu
        reg     n1O1O;
298 20 jefflieu
        reg     n1Oll;
299 9 jefflieu
        reg     n1OlO;
300 20 jefflieu
        reg     n00Oii;
301
        reg     n00Oll;
302
        reg     n00OOl;
303
        reg     n01iil;
304
        reg     n01ili;
305 9 jefflieu
        reg     n0i01l;
306
        reg     n0i10i;
307
        reg     n0i10l;
308
        reg     n0i11i;
309
        reg     n0i11l;
310
        reg     n0i11O;
311
        reg     n0i1iO;
312
        reg     n0i1li;
313
        reg     n0i1ll;
314
        reg     n0i1Ol;
315
        reg     n0i1OO;
316 20 jefflieu
        reg     n0i0l;
317
        reg     n0iii;
318 9 jefflieu
        reg     n0i1Oi;
319
        reg     n0ii0O;
320
        reg     n0i0iO;
321
        reg     n0i0li;
322
        reg     n0i0ll;
323
        reg     n0i0lO;
324
        reg     n0i0Oi;
325
        reg     n0ii0i;
326
        reg     n0ii1l;
327
        reg     n0ii1O;
328
        reg     n0iiil;
329
        reg     n0iiiO;
330
        reg     n0iill;
331
        reg     n0iOii;
332
        reg     n0iO0i;
333
        reg     n0010i;
334
        reg     n0010l;
335
        reg     n0010O;
336 20 jefflieu
        reg     n0011i;
337 9 jefflieu
        reg     n001ii;
338
        reg     n001il;
339
        reg     n001iO;
340
        reg     n001li;
341
        reg     n00lOO;
342
        reg     n00O0l;
343
        reg     n00O0O;
344
        reg     n00O1i;
345
        reg     n00Oil;
346
        reg     n00OiO;
347
        reg     n00Oli;
348
        reg     n00OlO;
349 20 jefflieu
        reg     n01l0l;
350
        reg     n01l0O;
351
        reg     n01lOi;
352
        reg     n01lOl;
353 9 jefflieu
        reg     n01lOO;
354
        reg     n01O0i;
355
        reg     n01O0l;
356
        reg     n01O0O;
357
        reg     n01O1i;
358
        reg     n01O1l;
359
        reg     n01O1O;
360
        reg     n01Oii;
361
        reg     n01OiO;
362
        reg     n01OOO;
363
        reg     n0ilOi;
364
        reg     n0ilOO;
365
        reg     n0iO1i;
366
        reg     n0iO1l;
367
        reg     n0l01i;
368
        reg     n0l01O;
369
        reg     n0l11l;
370
        reg     n0l1iO;
371
        reg     n0l1ll;
372
        reg     n0l1lO;
373
        reg     n0l1Oi;
374
        reg     n0l1Ol;
375
        reg     n0l1OO;
376
        reg     n0iOil;
377
        reg     n0iOli;
378
        reg     n0iOll;
379
        reg     n0iOlO;
380
        reg     n0iOOi;
381
        reg     n0iOOl;
382
        reg     n0iOOO;
383
        reg     n0l0ll;
384
        reg     n0l11i;
385
        reg     n0li0O;
386
        reg     n0liil;
387
        reg     n0lili;
388
        reg     n0liOi;
389
        reg     n0liOl;
390
        reg     n0liOO;
391
        reg     n0ll1i;
392
        reg     n0ll1l;
393
        reg     n0ll1O;
394
        reg     n0llli;
395
        reg     n0llll;
396
        reg     n0lllO;
397
        reg     n0llOi;
398
        reg     n0llOl;
399
        reg     n0llOO;
400
        reg     n0O10i;
401
        reg     n0O10l;
402
        reg     n0O10O;
403
        reg     n0O11O;
404
        reg     n0O1ii;
405
        reg     n0O1il;
406
        reg     n0O1iO;
407
        reg     n0O1li;
408
        reg     n0O1ll;
409
        reg     n0O1Oi;
410
        reg     n0O0ll;
411
        reg     n0O0Oi;
412
        reg     n0Oiil;
413 20 jefflieu
        reg     n101ll;
414
        reg     nlOi01l;
415 9 jefflieu
        reg     n0lO0O;
416
        reg     n0lO1i;
417
        reg     n0O0iO;
418
        reg     n0O11i;
419
        reg     n0Oi1i;
420
        reg     n0Oili;
421 20 jefflieu
        reg     nlil01i;
422
        reg     nlil01l;
423 9 jefflieu
        reg     nlil01O;
424 20 jefflieu
        reg     nlil10i;
425
        reg     nlil1li;
426
        reg     nlil1ll;
427 9 jefflieu
        reg     nlil1lO;
428 20 jefflieu
        reg     nlil1Oi;
429
        reg     nlil1Ol;
430
        reg     nlil1OO;
431
        reg     nlili1i;
432 9 jefflieu
        reg     n0O0li;
433
        reg     n0O0lO;
434
        reg     n0OilO;
435 20 jefflieu
        reg     nlil0OO;
436
        reg     nlil1il;
437
        reg     nlili1l;
438
        reg     n01i0O;
439
        reg     n01iii;
440 9 jefflieu
        reg     n0OiOi;
441
        reg     n0OiOl;
442
        reg     n0OiOO;
443
        reg     n0Ol1l;
444
        reg     n0Ol0i;
445
        reg     n0Ol0l;
446
        reg     n0Ol0O;
447
        reg     n0Ol1O;
448
        reg     n0Olii;
449
        reg     n0Olil;
450
        reg     n0OliO;
451
        reg     n0Olli;
452
        reg     n0Olll;
453
        reg     n0OO1l;
454 20 jefflieu
        reg     n110l;
455
        reg     n11ii;
456
        reg     nl010i;
457
        reg     nllllO;
458
        reg     nlOOll;
459
        reg     n11il;
460
        reg     n11iO;
461
        reg     n11li;
462
        reg     n11ll;
463
        reg     n11lO;
464
        reg     n11Ol;
465
        wire    wire_n11Oi_CLRN;
466 9 jefflieu
        reg     ni00ll;
467
        reg     ni001i;
468
        reg     ni001l;
469
        reg     ni001O;
470
        reg     ni00ii;
471
        reg     ni00il;
472
        reg     ni00iO;
473
        reg     ni00lO;
474
        reg     ni00Oi;
475
        reg     ni00OO;
476
        reg     ni01Ol;
477
        reg     ni01OO;
478
        reg     ni010i;
479
        reg     ni010l;
480
        reg     ni01ii;
481
        reg     ni1O0l;
482
        reg     ni1O0O;
483
        reg     ni1Oii;
484
        reg     ni1Oil;
485
        reg     ni1OiO;
486
        reg     ni1OOi;
487
        reg     ni1OOl;
488
        reg     ni1OOO;
489
        reg     ni011l;
490
        reg     ni0lli;
491
        reg     ni0l0i;
492
        reg     ni0l0l;
493
        reg     ni0l0O;
494
        reg     ni0l1l;
495
        reg     ni0O0O;
496
        reg     ni1i1l;
497
        reg     ni0OOi;
498
        reg     ni0OOO;
499
        reg     nii10i;
500
        reg     nii10l;
501
        reg     nii11i;
502
        reg     nii11l;
503
        reg     nii11O;
504
        reg     nii1ii;
505
        reg     ni0lll;
506
        reg     ni0lOi;
507
        reg     ni0lOl;
508
        reg     ni0lOO;
509
        reg     ni0O0i;
510
        reg     ni0O1i;
511
        reg     ni0O1l;
512
        reg     ni0O1O;
513
        reg     nii00l;
514
        reg     nii00O;
515
        reg     nii0ii;
516
        reg     nii0il;
517
        reg     nii0iO;
518
        reg     nii0li;
519
        reg     nii0ll;
520
        reg     nii0lO;
521
        reg     nii0Oi;
522
        reg     nii0Ol;
523
        reg     nii0OO;
524
        reg     niii0l;
525
        reg     niii0O;
526
        reg     niii1l;
527
        reg     niiiii;
528
        reg     niiiil;
529
        reg     niiiiO;
530
        reg     niiili;
531
        reg     niiill;
532
        reg     niiilO;
533
        reg     nil00i;
534
        reg     nil01l;
535
        reg     nil01O;
536
        reg     nil0ii;
537
        reg     nil1Ol;
538
        reg     nil1OO;
539
        reg     ni0lii;
540
        reg     nil00l;
541
        reg     nil01i;
542
        reg     nil0iO;
543 20 jefflieu
        reg     n011il;
544
        reg     n1i00i;
545
        reg     n1i00l;
546
        reg     n1i00O;
547
        reg     n1i0ii;
548 9 jefflieu
        reg     n1i0iO;
549 20 jefflieu
        reg     n1i1il;
550 9 jefflieu
        reg     n1i1lO;
551
        reg     nili0i;
552
        reg     nili0l;
553
        reg     niO00i;
554
        reg     niO01l;
555
        reg     niO0ii;
556
        reg     niO1ll;
557
        reg     niO1Oi;
558
        reg     niO1OO;
559 20 jefflieu
        reg     nliil0l;
560
        reg     nliiOlO;
561
        reg     nliiOOl;
562 9 jefflieu
        reg     n0OllO;
563
        reg     n0OlOl;
564
        reg     n0OlOO;
565
        reg     n0OO0i;
566
        reg     n0OO0l;
567
        reg     n0OO0O;
568
        reg     n0OO1O;
569
        reg     n0OOii;
570
        reg     n0OOil;
571
        reg     n0OOiO;
572
        reg     n0OOli;
573
        reg     ni10Ol;
574
        reg     ni10OO;
575
        reg     ni1i0i;
576
        reg     ni1i0l;
577
        reg     ni1i0O;
578
        reg     ni1i1O;
579
        reg     ni1iii;
580
        reg     ni1iil;
581
        reg     ni1iiO;
582
        reg     ni1ili;
583
        reg     ni1ill;
584
        reg     ni1ilO;
585
        reg     ni1iOi;
586
        reg     ni1iOl;
587
        reg     ni1iOO;
588
        reg     ni1l0i;
589
        reg     ni1l0l;
590
        reg     ni1l0O;
591
        reg     ni1l1i;
592
        reg     ni1l1l;
593
        reg     ni1l1O;
594
        reg     ni1lii;
595
        reg     ni1lil;
596
        reg     ni1liO;
597
        reg     ni1lli;
598
        reg     ni1lll;
599
        reg     ni1llO;
600
        reg     ni1lOi;
601
        reg     ni1lOl;
602
        reg     ni1lOO;
603
        reg     ni1O1i;
604
        reg     ni1O1l;
605
        reg     ni1O1O;
606
        reg     nill0l;
607
        reg     nilOOi;
608
        reg     nilOOl;
609
        reg     nilOOO;
610
        reg     niO0il;
611
        reg     niO0li;
612
        reg     niO10i;
613
        reg     niO10l;
614
        reg     niO10O;
615
        reg     niO11i;
616
        reg     niO11l;
617
        reg     niO11O;
618 20 jefflieu
        reg     n0O1i;
619
        reg     niO0O;
620
        reg     niOiO;
621
        wire    wire_niOil_PRN;
622 9 jefflieu
        reg     nl00ii;
623
        wire    wire_nl000O_ENA;
624 20 jefflieu
        reg     n0lil;
625
        reg     niO1i;
626
        reg     niOii;
627
        reg     niOli;
628
        reg     niOll;
629
        reg     niOlO;
630
        reg     niOOi;
631
        reg     niOOl;
632
        reg     niOOO;
633
        reg     nl01l;
634
        reg     nl10i;
635
        reg     nl10l;
636
        reg     nl10O;
637
        reg     nl11i;
638
        reg     nl11l;
639
        reg     nl11O;
640
        reg     nl1ii;
641
        reg     nl1il;
642
        reg     nl1iO;
643
        reg     nl1li;
644
        reg     nl1ll;
645
        reg     nl1lO;
646
        reg     nl1Oi;
647
        reg     nl1Ol;
648
        reg     nl1OO;
649
        wire    wire_nl01i_CLRN;
650 9 jefflieu
        reg     nl00ll;
651
        reg     nl00Oi;
652
        reg     nl00Ol;
653
        reg     nl00OO;
654
        reg     nl0i1i;
655
        reg     nl0i1O;
656
        reg     nl0i0i;
657
        reg     nl0i0O;
658
        reg     nl0iii;
659
        reg     nl0iiO;
660
        reg     nl0ilO;
661
        reg     nl0iOl;
662
        reg     nl0iOO;
663
        reg     nl0l0i;
664
        reg     nl0l0O;
665
        reg     nl0l1O;
666
        reg     nl0iil;
667
        reg     nl0ili;
668
        reg     nl0ill;
669
        reg     nl0iOi;
670
        reg     nl0l1l;
671 20 jefflieu
        reg     nl0li;
672
        reg     nl0lO;
673
        wire    wire_nl0ll_PRN;
674 9 jefflieu
        reg     nl0liO;
675
        reg     nl0lll;
676
        reg     nl0lii;
677
        reg     nl0lil;
678
        reg     nl0lOi;
679
        reg     nl0llO_clk_prev;
680
        wire    wire_nl0llO_CLRN;
681 20 jefflieu
        wire    wire_nl0llO_PRN;
682 9 jefflieu
        reg     nl111i;
683
        reg     nl1i0l;
684
        reg     nl1i0O;
685
        reg     nl1iii;
686
        reg     nl1iil;
687
        reg     nl1iiO;
688
        reg     nl1ili;
689
        reg     nl1ill;
690
        reg     nl1ilO;
691
        reg     nl1iOi;
692
        reg     nl1iOl;
693
        reg     nl1iOO;
694
        reg     nl1l0l;
695
        reg     nl1l1i;
696
        reg     nl1l1l;
697
        reg     nl1l1O;
698 20 jefflieu
        reg     nli0O;
699
        reg     nli0l_clk_prev;
700
        wire    wire_nli0l_CLRN;
701
        wire    wire_nli0l_PRN;
702 9 jefflieu
        reg     nl0lOl;
703
        reg     nl0O0i;
704
        reg     nl0O0l;
705
        reg     nl0O0O;
706
        reg     nl0O1l;
707
        reg     nl0O1O;
708
        reg     nl0Oii;
709
        reg     nl0Oil;
710
        reg     nl0OiO;
711
        reg     nl0Oli;
712
        reg     nl0Oll;
713
        reg     nl0OlO;
714
        reg     nl0OOi;
715
        reg     nl0OOl;
716
        reg     nl0OOO;
717
        reg     nli11l;
718
        reg     nli00i;
719
        reg     nli00l;
720
        reg     nli00O;
721
        reg     nli01O;
722
        reg     nli0ii;
723
        reg     nli0il;
724
        reg     nli0iO;
725
        reg     nli0li;
726
        reg     nli0ll;
727
        reg     nli0lO;
728
        reg     nli0Oi;
729
        reg     nli0Ol;
730
        reg     nli0OO;
731
        reg     nli1OO;
732
        reg     nlii1i;
733
        reg     nlii1O;
734 20 jefflieu
        wire    wire_nlii1l_CLRN;
735
        reg     nliii0O;
736
        reg     nliiiil;
737
        reg     nliiiiO;
738
        reg     nliiill;
739
        reg     nli0i;
740
        reg     nlili;
741
        reg     nliiO_clk_prev;
742
        wire    wire_nliiO_CLRN;
743
        wire    wire_nliiO_PRN;
744 9 jefflieu
        reg     nlii0i;
745
        reg     nliilO;
746
        reg     nliiOl;
747
        reg     nliill;
748
        reg     nliiOO;
749
        reg     nlil1l;
750 20 jefflieu
        reg     nlil1i_clk_prev;
751 9 jefflieu
        wire    wire_nlil1i_CLRN;
752 20 jefflieu
        wire    wire_nlil1i_PRN;
753
        reg     nlili0l;
754
        reg     nlili1O;
755
        reg     n010li;
756
        reg     n010ll;
757 9 jefflieu
        reg     n0110i;
758
        reg     n0110l;
759
        reg     n0110O;
760 20 jefflieu
        reg     n0111i;
761
        reg     n0111l;
762
        reg     n0111O;
763 9 jefflieu
        reg     n011ii;
764 20 jefflieu
        reg     n01i0i;
765 9 jefflieu
        reg     n01i0l;
766 20 jefflieu
        reg     n01i1i;
767
        reg     n01i1O;
768
        reg     n1i01i;
769
        reg     n1i01l;
770
        reg     n1i01O;
771
        reg     n1i0il;
772
        reg     n1i0li;
773
        reg     n1OOiO;
774
        reg     n1OOli;
775
        reg     n1OOll;
776
        reg     n1OOlO;
777
        reg     n1OOOi;
778
        reg     n1OOOl;
779
        reg     n1OOOO;
780 9 jefflieu
        reg     nili1l;
781
        reg     nili1O;
782
        reg     niliil;
783
        reg     nilill;
784
        reg     nililO;
785
        reg     niliOi;
786
        reg     niliOl;
787
        reg     niliOO;
788
        reg     nill0i;
789
        reg     nill1i;
790
        reg     nill1l;
791
        reg     nill1O;
792
        reg     niO00l;
793
        reg     niO01i;
794
        reg     niO01O;
795
        reg     niO0OO;
796
        reg     niO1ii;
797
        reg     niO1il;
798
        reg     niO1lO;
799
        reg     niO1Ol;
800
        reg     niOi0i;
801
        reg     niOi1l;
802
        reg     niOi1O;
803
        reg     niOiiO;
804
        reg     niOill;
805
        reg     niOiOi;
806
        reg     niOiOl;
807
        reg     niOiOO;
808
        reg     niOl1i;
809
        reg     niOl1l;
810 20 jefflieu
        reg     nliil1i;
811
        reg     nliilii;
812
        reg     nliilil;
813 9 jefflieu
        reg     nliiliO;
814 20 jefflieu
        reg     nliilli;
815
        reg     nliilll;
816
        reg     nliillO;
817
        reg     nliilOi;
818
        reg     nliilOl;
819 9 jefflieu
        reg     nliilOO;
820 20 jefflieu
        reg     nliiOOi;
821
        reg     nll0iO;
822
        reg     nll0li;
823
        reg     nll0ll;
824
        reg     nll0Oi;
825
        wire    wire_nll0lO_CLRN;
826
        reg     nll10l;
827
        reg     nll10i_clk_prev;
828
        wire    wire_nll10i_CLRN;
829
        wire    wire_nll10i_PRN;
830
        reg     nliOiO;
831
        reg     nll11l;
832
        reg     nll11O;
833
        reg     nll1ii;
834
        reg     nll10O_clk_prev;
835
        wire    wire_nll10O_PRN;
836 9 jefflieu
        reg     n0O11l;
837
        reg     n0Oi0i;
838
        reg     n1010i;
839
        reg     n1010l;
840
        reg     n1010O;
841
        reg     n1011i;
842
        reg     n1011l;
843
        reg     n1011O;
844
        reg     n101ii;
845
        reg     n101il;
846
        reg     n101iO;
847
        reg     n101li;
848 20 jefflieu
        reg     n10l0i;
849
        reg     n10l0l;
850
        reg     n10l0O;
851
        reg     n10lii;
852 9 jefflieu
        reg     n10lil;
853
        reg     n10liO;
854
        reg     n10lli;
855
        reg     n10lll;
856
        reg     n10llO;
857
        reg     n10lOi;
858
        reg     n10lOl;
859
        reg     n10lOO;
860 20 jefflieu
        reg     n10OlO;
861
        reg     n10OOi;
862
        reg     n11OiO;
863
        reg     n11Oli;
864
        reg     n11Oll;
865
        reg     n11OlO;
866 9 jefflieu
        reg     n11OOi;
867
        reg     n11OOl;
868
        reg     n11OOO;
869
        reg     n1i11l;
870
        reg     nl011i;
871
        reg     nl1l0O;
872
        reg     nl1Oil;
873
        reg     nl1OiO;
874
        reg     nl1Oli;
875
        reg     nl1Oll;
876
        reg     nl1OlO;
877
        reg     nl1OOi;
878
        reg     nl1OOl;
879
        reg     nl1OOO;
880
        reg     nli1lO;
881
        reg     nli1Oi;
882
        reg     nli1Ol;
883 20 jefflieu
        reg     nliOlOi;
884 9 jefflieu
        reg     nliOO0l;
885 20 jefflieu
        reg     nliOOiO;
886
        reg     nliOOli;
887
        reg     nliOOll;
888 9 jefflieu
        reg     nliOOlO;
889 20 jefflieu
        reg     nliOOOi;
890
        reg     nliOOOl;
891
        reg     nliOOOO;
892
        reg     nll001i;
893
        reg     nll001l;
894
        reg     nll010l;
895
        reg     nll010O;
896
        reg     nll01il;
897 9 jefflieu
        reg     nll01lO;
898
        reg     nll01OO;
899 20 jefflieu
        reg     nll0i0l;
900
        reg     nll0i0O;
901
        reg     nll0i1l;
902
        reg     nll0ill;
903
        reg     nll0ilO;
904
        reg     nll0iOi;
905
        reg     nll0iOl;
906 9 jefflieu
        reg     nll0iOO;
907
        reg     nll0l0i;
908
        reg     nll0l0l;
909
        reg     nll0l0O;
910
        reg     nll0l1i;
911
        reg     nll0l1l;
912
        reg     nll0l1O;
913
        reg     nll0lii;
914
        reg     nll0lil;
915
        reg     nll0liO;
916
        reg     nll0lli;
917
        reg     nll0lll;
918
        reg     nll110i;
919
        reg     nll110l;
920
        reg     nll110O;
921
        reg     nll111i;
922
        reg     nll111l;
923
        reg     nll111O;
924
        reg     nll11ii;
925
        reg     nll11il;
926
        reg     nll11iO;
927
        reg     nll11li;
928
        reg     nll11ll;
929
        reg     nll11lO;
930
        reg     nll11Oi;
931
        reg     nll11Ol;
932
        reg     nll11OO;
933 20 jefflieu
        reg     nlli0l;
934 9 jefflieu
        reg     nlli1O;
935 20 jefflieu
        reg     nlliii;
936
        reg     nlliil;
937 9 jefflieu
        reg     nllil0l;
938 20 jefflieu
        reg     nllil0O;
939
        reg     nllil1i;
940
        reg     nllili;
941
        reg     nllilii;
942
        reg     nllilil;
943 9 jefflieu
        reg     nlliliO;
944
        reg     nllilli;
945
        reg     nllilll;
946
        reg     nllillO;
947
        reg     nllilOi;
948
        reg     nllilOl;
949
        reg     nllilOO;
950
        reg     nlliO0i;
951
        reg     nlliO0l;
952
        reg     nlliO0O;
953
        reg     nlliO1i;
954
        reg     nlliO1l;
955
        reg     nlliO1O;
956
        reg     nlliOii;
957
        reg     nlliOil;
958
        reg     nlliOiO;
959
        reg     nlliOli;
960 20 jefflieu
        reg     nllO1lO;
961
        reg     nllO1Oi;
962
        reg     nllO1Ol;
963
        reg     nllOi0i;
964
        reg     nllOi0l;
965
        reg     nllOi1l;
966
        reg     nllOi1O;
967
        reg     nllOO0i;
968 9 jefflieu
        reg     nllOO0l;
969
        reg     nllOO0O;
970 20 jefflieu
        reg     nllOO1i;
971
        reg     nllOO1l;
972
        reg     nllOO1O;
973 9 jefflieu
        reg     nllOOii;
974
        reg     nllOOil;
975
        reg     nllOOiO;
976
        reg     nllOOli;
977
        reg     nllOOll;
978
        reg     nllOOlO;
979
        reg     nllOOOi;
980
        reg     nllOOOl;
981
        reg     nllOOOO;
982
        reg     nlO101i;
983
        reg     nlO101l;
984
        reg     nlO110i;
985
        reg     nlO110l;
986
        reg     nlO110O;
987
        reg     nlO111i;
988
        reg     nlO111l;
989
        reg     nlO111O;
990
        reg     nlO11ii;
991
        reg     nlO11il;
992
        reg     nlO11iO;
993
        reg     nlO11li;
994
        reg     nlO11ll;
995
        reg     nlO11lO;
996
        reg     nlO11Oi;
997
        reg     nlO11Ol;
998
        reg     nlO11OO;
999
        reg     nlOi01i;
1000
        reg     nlOi01O;
1001 20 jefflieu
        reg     nlOi1ll;
1002
        reg     nlOi1lO;
1003
        reg     nlOi1Oi;
1004
        reg     nlOi1Ol;
1005 9 jefflieu
        reg     nlOi1OO;
1006 20 jefflieu
        reg     nlOiilO;
1007
        reg     nlOiiOi;
1008
        reg     nlOil0l;
1009
        reg     nlOil0O;
1010
        reg     nlOilii;
1011
        reg     nlOilil;
1012 9 jefflieu
        reg     nlOiliO;
1013
        reg     nlOilli;
1014
        reg     nlOilll;
1015
        reg     nlOillO;
1016
        reg     nlOilOi;
1017
        reg     nlOilOl;
1018
        reg     nlOilOO;
1019
        reg     nlOiO0i;
1020
        reg     nlOiO0l;
1021
        reg     nlOiO0O;
1022
        reg     nlOiO1i;
1023
        reg     nlOiO1l;
1024
        reg     nlOiO1O;
1025
        reg     nlOiOii;
1026
        reg     nlOiOil;
1027
        reg     nlOiOiO;
1028
        reg     nlOiOli;
1029
        reg     nlOiOll;
1030
        reg     nlOiOlO;
1031
        reg     nlOiOOi;
1032
        reg     nlOiOOl;
1033
        reg     nlOiOOO;
1034
        reg     nlOl10i;
1035
        reg     nlOl11i;
1036
        reg     nlOl11l;
1037
        reg     nlOl11O;
1038 20 jefflieu
        reg     nlOlOlO;
1039 9 jefflieu
        reg     nlOO00i;
1040
        reg     nlOO01i;
1041
        reg     nlOO01l;
1042
        reg     nlOO01O;
1043 20 jefflieu
        reg     nlOO10i;
1044
        reg     nlOO10l;
1045 9 jefflieu
        reg     nlOO10O;
1046 20 jefflieu
        reg     nlOO11l;
1047
        reg     nlOO1ii;
1048 9 jefflieu
        reg     nlOO1il;
1049
        reg     nlOO1iO;
1050
        reg     nlOO1li;
1051
        reg     nlOO1ll;
1052
        reg     nlOO1lO;
1053
        reg     nlOO1Oi;
1054
        reg     nlOO1Ol;
1055
        reg     nlOO1OO;
1056 20 jefflieu
        reg     nlOOlOO;
1057
        reg     nlliiO_clk_prev;
1058
        wire    wire_nlliiO_CLRN;
1059
        wire    wire_nlliiO_PRN;
1060 9 jefflieu
        reg     niOO0i;
1061
        reg     niOO0l;
1062
        reg     niOO0O;
1063
        reg     niOO1i;
1064
        reg     niOO1l;
1065
        reg     niOO1O;
1066
        reg     niOOii;
1067
        reg     niOOil;
1068
        reg     niOOiO;
1069
        reg     niOOli;
1070
        reg     niOOll;
1071
        reg     niOOlO;
1072
        reg     niOOOi;
1073
        reg     niOOOl;
1074
        reg     niOOOO;
1075
        reg     nl010l;
1076
        reg     nl011l;
1077
        reg     nl011O;
1078
        reg     nl1i0i;
1079
        reg     nli10l;
1080
        reg     nli10O;
1081
        reg     nli11O;
1082
        reg     nli1ll;
1083
        reg     nlil0i;
1084
        reg     nlil0O;
1085
        reg     nlil1O;
1086
        reg     nlilii;
1087
        reg     nlilOl;
1088
        reg     nliO0l;
1089
        reg     nliO0O;
1090
        reg     nliOii;
1091
        reg     nliOil;
1092 20 jefflieu
        reg     nll00i;
1093
        reg     nll00l;
1094
        reg     nll01i;
1095
        reg     nll01l;
1096
        reg     nll01O;
1097
        reg     nll0Ol;
1098 9 jefflieu
        reg     nll11i;
1099
        reg     nll1il;
1100
        reg     nll1iO;
1101 20 jefflieu
        reg     nll1OO;
1102
        reg     nlli1i;
1103
        reg     nlli1l;
1104
        reg     nllill;
1105 9 jefflieu
        reg     nlliOi;
1106
        reg     nlliOl;
1107
        reg     nlliOO;
1108
        reg     nlll0i;
1109 20 jefflieu
        reg     nlll0l;
1110
        reg     nlll0O;
1111
        reg     nlllii;
1112
        reg     nlllil;
1113
        reg     nllliO;
1114
        reg     nlllli;
1115
        reg     nlllll;
1116 9 jefflieu
        reg     nllOil;
1117
        reg     nllOiO;
1118
        reg     nllOli;
1119
        reg     nllOll;
1120
        reg     nllOlO;
1121
        reg     nllOOi;
1122
        reg     nllOOl;
1123
        reg     nllOOO;
1124
        reg     nlO00i;
1125
        reg     nlO00l;
1126
        reg     nlO00O;
1127
        reg     nlO01i;
1128
        reg     nlO01l;
1129
        reg     nlO01O;
1130
        reg     nlO0ii;
1131
        reg     nlO0il;
1132
        reg     nlO0iO;
1133
        reg     nlO0li;
1134 20 jefflieu
        reg     nlO0ll;
1135
        reg     nlO0lO;
1136
        reg     nlO0Oi;
1137
        reg     nlO0Ol;
1138
        reg     nlO0OO;
1139 9 jefflieu
        reg     nlO10i;
1140
        reg     nlO10l;
1141
        reg     nlO10O;
1142
        reg     nlO11i;
1143
        reg     nlO11l;
1144
        reg     nlO11O;
1145
        reg     nlO1ii;
1146
        reg     nlO1il;
1147
        reg     nlO1iO;
1148
        reg     nlO1li;
1149
        reg     nlO1ll;
1150
        reg     nlO1lO;
1151
        reg     nlO1Oi;
1152
        reg     nlO1Ol;
1153
        reg     nlO1OO;
1154 20 jefflieu
        reg     nlOi1i;
1155
        reg     nlOi1l;
1156 9 jefflieu
        reg     nlOOii;
1157 20 jefflieu
        reg     nlOOil;
1158
        reg     nlOOiO;
1159
        reg     nlOOli;
1160
        reg     nlOOOi;
1161
        wire    wire_nlOOlO_CLRN;
1162 9 jefflieu
        wire    wire_n0000i_dataout;
1163
        wire    wire_n0000l_dataout;
1164
        wire    wire_n0000O_dataout;
1165
        wire    wire_n0001i_dataout;
1166
        wire    wire_n0001l_dataout;
1167
        wire    wire_n0001O_dataout;
1168 20 jefflieu
        wire    wire_n000i_dataout;
1169 9 jefflieu
        wire    wire_n000ii_dataout;
1170
        wire    wire_n000il_dataout;
1171
        wire    wire_n000iO_dataout;
1172 20 jefflieu
        wire    wire_n000l_dataout;
1173 9 jefflieu
        wire    wire_n000li_dataout;
1174
        wire    wire_n000ll_dataout;
1175
        wire    wire_n000lO_dataout;
1176 20 jefflieu
        wire    wire_n000O_dataout;
1177 9 jefflieu
        wire    wire_n000Oi_dataout;
1178
        wire    wire_n000Ol_dataout;
1179
        wire    wire_n000OO_dataout;
1180
        wire    wire_n0011l_dataout;
1181 20 jefflieu
        wire    wire_n0011O_dataout;
1182
        wire    wire_n001i_dataout;
1183
        wire    wire_n001l_dataout;
1184 9 jefflieu
        wire    wire_n001ll_dataout;
1185
        wire    wire_n001lO_dataout;
1186 20 jefflieu
        wire    wire_n001O_dataout;
1187 9 jefflieu
        wire    wire_n001Oi_dataout;
1188
        wire    wire_n001Ol_dataout;
1189
        wire    wire_n001OO_dataout;
1190
        wire    wire_n00i0i_dataout;
1191
        wire    wire_n00i0l_dataout;
1192
        wire    wire_n00i0O_dataout;
1193
        wire    wire_n00i1i_dataout;
1194
        wire    wire_n00iii_dataout;
1195
        wire    wire_n00iil_dataout;
1196
        wire    wire_n00iiO_dataout;
1197
        wire    wire_n00ili_dataout;
1198
        wire    wire_n00l0i_dataout;
1199
        wire    wire_n00l1O_dataout;
1200
        wire    wire_n00lii_dataout;
1201
        wire    wire_n00lil_dataout;
1202
        wire    wire_n00liO_dataout;
1203
        wire    wire_n00lli_dataout;
1204
        wire    wire_n00lll_dataout;
1205
        wire    wire_n00O1l_dataout;
1206
        wire    wire_n00O1O_dataout;
1207
        wire    wire_n0100i_dataout;
1208
        wire    wire_n0100l_dataout;
1209
        wire    wire_n0100O_dataout;
1210 20 jefflieu
        wire    wire_n0101i_dataout;
1211
        wire    wire_n0101l_dataout;
1212
        wire    wire_n0101O_dataout;
1213 9 jefflieu
        wire    wire_n010i_dataout;
1214
        wire    wire_n010ii_dataout;
1215
        wire    wire_n010il_dataout;
1216
        wire    wire_n010iO_dataout;
1217
        wire    wire_n010l_dataout;
1218
        wire    wire_n010lO_dataout;
1219
        wire    wire_n010O_dataout;
1220 20 jefflieu
        wire    wire_n011iO_dataout;
1221
        wire    wire_n011li_dataout;
1222
        wire    wire_n011ll_dataout;
1223
        wire    wire_n011lO_dataout;
1224
        wire    wire_n011Oi_dataout;
1225
        wire    wire_n011Ol_dataout;
1226
        wire    wire_n011OO_dataout;
1227 9 jefflieu
        wire    wire_n01i1l_dataout;
1228
        wire    wire_n01ii_dataout;
1229 20 jefflieu
        wire    wire_n01il_dataout;
1230
        wire    wire_n01iO_dataout;
1231
        wire    wire_n01lii_dataout;
1232
        wire    wire_n01lil_dataout;
1233
        wire    wire_n01liO_dataout;
1234
        wire    wire_n01lll_dataout;
1235
        wire    wire_n01llO_dataout;
1236
        wire    wire_n01Oli_dataout;
1237 9 jefflieu
        wire    wire_n0i00i_dataout;
1238
        wire    wire_n0i00l_dataout;
1239
        wire    wire_n0i00O_dataout;
1240
        wire    wire_n0i01O_dataout;
1241
        wire    wire_n0iilO_dataout;
1242
        wire    wire_n0iiOi_dataout;
1243
        wire    wire_n0iiOl_dataout;
1244
        wire    wire_n0iiOO_dataout;
1245
        wire    wire_n0il0i_dataout;
1246
        wire    wire_n0il0l_dataout;
1247
        wire    wire_n0il0O_dataout;
1248
        wire    wire_n0il1O_dataout;
1249
        wire    wire_n0ilii_dataout;
1250
        wire    wire_n0ilil_dataout;
1251
        wire    wire_n0iliO_dataout;
1252
        wire    wire_n0illi_dataout;
1253
        wire    wire_n0illl_dataout;
1254
        wire    wire_n0illO_dataout;
1255
        wire    wire_n0l0lO_dataout;
1256
        wire    wire_n0l0Oi_dataout;
1257
        wire    wire_n0lill_dataout;
1258
        wire    wire_n0ll0i_dataout;
1259
        wire    wire_n0ll0l_dataout;
1260
        wire    wire_n0ll0O_dataout;
1261
        wire    wire_n0llii_dataout;
1262
        wire    wire_n0llil_dataout;
1263
        wire    wire_n0lliO_dataout;
1264
        wire    wire_n0lO0i_dataout;
1265
        wire    wire_n0lO0l_dataout;
1266
        wire    wire_n0lO1l_dataout;
1267
        wire    wire_n0lO1O_dataout;
1268
        wire    wire_n0O00i_dataout;
1269
        wire    wire_n0O00l_dataout;
1270
        wire    wire_n0O00O_dataout;
1271
        wire    wire_n0O01i_dataout;
1272
        wire    wire_n0O01l_dataout;
1273
        wire    wire_n0O01O_dataout;
1274
        wire    wire_n0O0ii_dataout;
1275
        wire    wire_n0O0il_dataout;
1276
        wire    wire_n0O1Ol_dataout;
1277
        wire    wire_n0O1OO_dataout;
1278
        wire    wire_n0Oi0l_dataout;
1279
        wire    wire_n0Oi0O_dataout;
1280
        wire    wire_n0OOll_dataout;
1281
        wire    wire_n0OOlO_dataout;
1282
        wire    wire_n0OOOi_dataout;
1283
        wire    wire_n0OOOl_dataout;
1284
        wire    wire_n0OOOO_dataout;
1285
        wire    wire_n1000i_dataout;
1286
        wire    wire_n1000l_dataout;
1287
        wire    wire_n1000O_dataout;
1288
        wire    wire_n1001i_dataout;
1289
        wire    wire_n1001l_dataout;
1290
        wire    wire_n1001O_dataout;
1291 20 jefflieu
        wire    wire_n100i_dataout;
1292 9 jefflieu
        wire    wire_n100ii_dataout;
1293
        wire    wire_n100il_dataout;
1294
        wire    wire_n100iO_dataout;
1295 20 jefflieu
        wire    wire_n100l_dataout;
1296 9 jefflieu
        wire    wire_n100li_dataout;
1297
        wire    wire_n100ll_dataout;
1298
        wire    wire_n100lO_dataout;
1299
        wire    wire_n100Oi_dataout;
1300
        wire    wire_n100Ol_dataout;
1301
        wire    wire_n100OO_dataout;
1302 20 jefflieu
        wire    wire_n101l_dataout;
1303
        wire    wire_n101lO_dataout;
1304
        wire    wire_n101O_dataout;
1305
        wire    wire_n101Oi_dataout;
1306
        wire    wire_n101Ol_dataout;
1307
        wire    wire_n101OO_dataout;
1308 9 jefflieu
        wire    wire_n10i0i_dataout;
1309
        wire    wire_n10i0l_dataout;
1310
        wire    wire_n10i0O_dataout;
1311
        wire    wire_n10i1i_dataout;
1312
        wire    wire_n10i1l_dataout;
1313
        wire    wire_n10i1O_dataout;
1314
        wire    wire_n10iii_dataout;
1315
        wire    wire_n10iil_dataout;
1316
        wire    wire_n10iiO_dataout;
1317
        wire    wire_n10ili_dataout;
1318
        wire    wire_n10ill_dataout;
1319
        wire    wire_n10ilO_dataout;
1320
        wire    wire_n10iOi_dataout;
1321
        wire    wire_n10iOl_dataout;
1322
        wire    wire_n10iOO_dataout;
1323
        wire    wire_n10l1i_dataout;
1324
        wire    wire_n10l1l_dataout;
1325
        wire    wire_n10l1O_dataout;
1326 20 jefflieu
        wire    wire_n10O0i_dataout;
1327 9 jefflieu
        wire    wire_n10O0l_dataout;
1328
        wire    wire_n10O0O_dataout;
1329 20 jefflieu
        wire    wire_n10O1i_dataout;
1330
        wire    wire_n10O1l_dataout;
1331
        wire    wire_n10O1O_dataout;
1332 9 jefflieu
        wire    wire_n10Oii_dataout;
1333
        wire    wire_n10Oil_dataout;
1334
        wire    wire_n10OiO_dataout;
1335
        wire    wire_n10Oli_dataout;
1336
        wire    wire_n10Oll_dataout;
1337
        wire    wire_n10OOl_dataout;
1338
        wire    wire_n10OOO_dataout;
1339 20 jefflieu
        wire    wire_n1100i_dataout;
1340
        wire    wire_n1100l_dataout;
1341
        wire    wire_n1100O_dataout;
1342
        wire    wire_n1101O_dataout;
1343 9 jefflieu
        wire    wire_n110ii_dataout;
1344
        wire    wire_n110iO_dataout;
1345
        wire    wire_n110li_dataout;
1346
        wire    wire_n110ll_dataout;
1347 20 jefflieu
        wire    wire_n110lO_dataout;
1348 9 jefflieu
        wire    wire_n110Oi_dataout;
1349
        wire    wire_n110Ol_dataout;
1350
        wire    wire_n110OO_dataout;
1351 20 jefflieu
        wire    wire_n1110O_dataout;
1352 9 jefflieu
        wire    wire_n1111O_dataout;
1353
        wire    wire_n111ii_dataout;
1354
        wire    wire_n11i0i_dataout;
1355
        wire    wire_n11i1i_dataout;
1356
        wire    wire_n11i1l_dataout;
1357
        wire    wire_n11i1O_dataout;
1358 20 jefflieu
        wire    wire_n11ill_dataout;
1359
        wire    wire_n11ilO_dataout;
1360
        wire    wire_n11iOl_dataout;
1361 9 jefflieu
        wire    wire_n11iOO_dataout;
1362
        wire    wire_n11l0i_dataout;
1363 20 jefflieu
        wire    wire_n11l0l_dataout;
1364 9 jefflieu
        wire    wire_n11l0O_dataout;
1365 20 jefflieu
        wire    wire_n11l1l_dataout;
1366 9 jefflieu
        wire    wire_n11l1O_dataout;
1367
        wire    wire_n11lii_dataout;
1368
        wire    wire_n11lil_dataout;
1369
        wire    wire_n11liO_dataout;
1370 20 jefflieu
        wire    wire_n11O0i_dataout;
1371
        wire    wire_n11O0l_dataout;
1372
        wire    wire_n1i0ll_dataout;
1373
        wire    wire_n1i0lO_dataout;
1374
        wire    wire_n1i0Oi_dataout;
1375
        wire    wire_n1i0Ol_dataout;
1376 9 jefflieu
        wire    wire_n1i0OO_dataout;
1377 20 jefflieu
        wire    wire_n1i1iO_dataout;
1378
        wire    wire_n1i1li_dataout;
1379
        wire    wire_n1i1ll_dataout;
1380 9 jefflieu
        wire    wire_n1i1Oi_dataout;
1381
        wire    wire_n1i1Ol_dataout;
1382
        wire    wire_n1i1OO_dataout;
1383
        wire    wire_n1ii0i_dataout;
1384
        wire    wire_n1ii0l_dataout;
1385
        wire    wire_n1ii0O_dataout;
1386
        wire    wire_n1ii1i_dataout;
1387
        wire    wire_n1ii1l_dataout;
1388
        wire    wire_n1ii1O_dataout;
1389
        wire    wire_n1iiii_dataout;
1390
        wire    wire_n1iiil_dataout;
1391
        wire    wire_n1iiiO_dataout;
1392
        wire    wire_n1iili_dataout;
1393
        wire    wire_n1iill_dataout;
1394
        wire    wire_n1iilO_dataout;
1395
        wire    wire_n1iiOi_dataout;
1396
        wire    wire_n1iiOl_dataout;
1397
        wire    wire_n1iiOO_dataout;
1398
        wire    wire_n1il0i_dataout;
1399
        wire    wire_n1il0l_dataout;
1400
        wire    wire_n1il0O_dataout;
1401
        wire    wire_n1il1i_dataout;
1402
        wire    wire_n1il1l_dataout;
1403
        wire    wire_n1il1O_dataout;
1404
        wire    wire_n1ilii_dataout;
1405
        wire    wire_n1ilil_dataout;
1406
        wire    wire_n1iliO_dataout;
1407
        wire    wire_n1illi_dataout;
1408
        wire    wire_n1illl_dataout;
1409
        wire    wire_n1illO_dataout;
1410
        wire    wire_n1ilOi_dataout;
1411
        wire    wire_n1ilOl_dataout;
1412
        wire    wire_n1ilOO_dataout;
1413
        wire    wire_n1iO0i_dataout;
1414
        wire    wire_n1iO0l_dataout;
1415
        wire    wire_n1iO0O_dataout;
1416
        wire    wire_n1iO1i_dataout;
1417
        wire    wire_n1iO1l_dataout;
1418
        wire    wire_n1iO1O_dataout;
1419
        wire    wire_n1iOii_dataout;
1420
        wire    wire_n1iOil_dataout;
1421
        wire    wire_n1iOiO_dataout;
1422
        wire    wire_n1iOli_dataout;
1423
        wire    wire_n1iOll_dataout;
1424
        wire    wire_n1iOlO_dataout;
1425
        wire    wire_n1iOOi_dataout;
1426
        wire    wire_n1iOOl_dataout;
1427
        wire    wire_n1iOOO_dataout;
1428
        wire    wire_n1l00i_dataout;
1429
        wire    wire_n1l00l_dataout;
1430
        wire    wire_n1l00O_dataout;
1431
        wire    wire_n1l01i_dataout;
1432
        wire    wire_n1l01l_dataout;
1433
        wire    wire_n1l01O_dataout;
1434
        wire    wire_n1l0ii_dataout;
1435
        wire    wire_n1l0il_dataout;
1436
        wire    wire_n1l0iO_dataout;
1437
        wire    wire_n1l0li_dataout;
1438
        wire    wire_n1l0ll_dataout;
1439
        wire    wire_n1l0lO_dataout;
1440
        wire    wire_n1l0Oi_dataout;
1441
        wire    wire_n1l0Ol_dataout;
1442
        wire    wire_n1l0OO_dataout;
1443
        wire    wire_n1l10i_dataout;
1444
        wire    wire_n1l10l_dataout;
1445
        wire    wire_n1l10O_dataout;
1446
        wire    wire_n1l11i_dataout;
1447
        wire    wire_n1l11l_dataout;
1448
        wire    wire_n1l11O_dataout;
1449
        wire    wire_n1l1ii_dataout;
1450
        wire    wire_n1l1il_dataout;
1451
        wire    wire_n1l1iO_dataout;
1452 20 jefflieu
        wire    wire_n1l1l_dataout;
1453 9 jefflieu
        wire    wire_n1l1li_dataout;
1454
        wire    wire_n1l1ll_dataout;
1455
        wire    wire_n1l1lO_dataout;
1456 20 jefflieu
        wire    wire_n1l1O_dataout;
1457 9 jefflieu
        wire    wire_n1l1Oi_dataout;
1458
        wire    wire_n1l1Ol_dataout;
1459
        wire    wire_n1l1OO_dataout;
1460
        wire    wire_n1li0i_dataout;
1461
        wire    wire_n1li0l_dataout;
1462
        wire    wire_n1li0O_dataout;
1463
        wire    wire_n1li1i_dataout;
1464
        wire    wire_n1li1l_dataout;
1465
        wire    wire_n1li1O_dataout;
1466
        wire    wire_n1liii_dataout;
1467
        wire    wire_n1liil_dataout;
1468
        wire    wire_n1liiO_dataout;
1469
        wire    wire_n1lili_dataout;
1470
        wire    wire_n1lill_dataout;
1471
        wire    wire_n1lilO_dataout;
1472
        wire    wire_n1liOi_dataout;
1473
        wire    wire_n1liOl_dataout;
1474
        wire    wire_n1liOO_dataout;
1475
        wire    wire_n1ll0i_dataout;
1476
        wire    wire_n1ll0l_dataout;
1477
        wire    wire_n1ll0O_dataout;
1478
        wire    wire_n1ll1i_dataout;
1479
        wire    wire_n1ll1l_dataout;
1480
        wire    wire_n1ll1O_dataout;
1481
        wire    wire_n1llii_dataout;
1482
        wire    wire_n1llil_dataout;
1483
        wire    wire_n1lliO_dataout;
1484
        wire    wire_n1llli_dataout;
1485
        wire    wire_n1llll_dataout;
1486
        wire    wire_n1lllO_dataout;
1487
        wire    wire_n1llOi_dataout;
1488
        wire    wire_n1llOl_dataout;
1489
        wire    wire_n1llOO_dataout;
1490
        wire    wire_n1lO0i_dataout;
1491
        wire    wire_n1lO0l_dataout;
1492
        wire    wire_n1lO0O_dataout;
1493
        wire    wire_n1lO1i_dataout;
1494
        wire    wire_n1lO1l_dataout;
1495
        wire    wire_n1lO1O_dataout;
1496
        wire    wire_n1lOii_dataout;
1497
        wire    wire_n1lOil_dataout;
1498
        wire    wire_n1lOiO_dataout;
1499
        wire    wire_n1lOli_dataout;
1500
        wire    wire_n1lOll_dataout;
1501
        wire    wire_n1lOlO_dataout;
1502
        wire    wire_n1lOOi_dataout;
1503
        wire    wire_n1lOOl_dataout;
1504
        wire    wire_n1lOOO_dataout;
1505
        wire    wire_n1O00i_dataout;
1506
        wire    wire_n1O00l_dataout;
1507
        wire    wire_n1O00O_dataout;
1508
        wire    wire_n1O01i_dataout;
1509
        wire    wire_n1O01l_dataout;
1510
        wire    wire_n1O01O_dataout;
1511
        wire    wire_n1O0ii_dataout;
1512
        wire    wire_n1O0il_dataout;
1513
        wire    wire_n1O0iO_dataout;
1514
        wire    wire_n1O0l_dataout;
1515
        wire    wire_n1O0li_dataout;
1516
        wire    wire_n1O0ll_dataout;
1517
        wire    wire_n1O0lO_dataout;
1518
        wire    wire_n1O0O_dataout;
1519
        wire    wire_n1O0Oi_dataout;
1520
        wire    wire_n1O0Ol_dataout;
1521
        wire    wire_n1O0OO_dataout;
1522
        wire    wire_n1O10i_dataout;
1523
        wire    wire_n1O10l_dataout;
1524
        wire    wire_n1O10O_dataout;
1525
        wire    wire_n1O11i_dataout;
1526
        wire    wire_n1O11l_dataout;
1527
        wire    wire_n1O11O_dataout;
1528
        wire    wire_n1O1ii_dataout;
1529
        wire    wire_n1O1il_dataout;
1530
        wire    wire_n1O1iO_dataout;
1531
        wire    wire_n1O1li_dataout;
1532
        wire    wire_n1O1ll_dataout;
1533
        wire    wire_n1O1lO_dataout;
1534
        wire    wire_n1O1Oi_dataout;
1535
        wire    wire_n1O1Ol_dataout;
1536
        wire    wire_n1O1OO_dataout;
1537
        wire    wire_n1Oi0l_dataout;
1538
        wire    wire_n1Oi1i_dataout;
1539
        wire    wire_n1Oii_dataout;
1540 20 jefflieu
        wire    wire_n1Oiil_dataout;
1541 9 jefflieu
        wire    wire_n1Oil_dataout;
1542 20 jefflieu
        wire    wire_n1Oili_dataout;
1543
        wire    wire_n1Ol0i_dataout;
1544
        wire    wire_n1Ol0l_dataout;
1545
        wire    wire_n1Ol0O_dataout;
1546
        wire    wire_n1Ol1O_dataout;
1547 9 jefflieu
        wire    wire_n1Olii_dataout;
1548
        wire    wire_n1Olll_dataout;
1549 20 jefflieu
        wire    wire_n1OllO_dataout;
1550 9 jefflieu
        wire    wire_n1OlOi_dataout;
1551
        wire    wire_n1OlOl_dataout;
1552
        wire    wire_n1OlOO_dataout;
1553
        wire    wire_ni01il_dataout;
1554
        wire    wire_ni01iO_dataout;
1555
        wire    wire_ni01li_dataout;
1556
        wire    wire_ni01ll_dataout;
1557
        wire    wire_ni0i0i_dataout;
1558
        wire    wire_ni0i1i_dataout;
1559
        wire    wire_ni0i1l_dataout;
1560
        wire    wire_ni0i1O_dataout;
1561
        wire    wire_ni0iii_dataout;
1562
        wire    wire_ni0iil_dataout;
1563
        wire    wire_ni0iiO_dataout;
1564
        wire    wire_ni0ili_dataout;
1565
        wire    wire_ni0ill_dataout;
1566
        wire    wire_ni0ilO_dataout;
1567
        wire    wire_ni0iOi_dataout;
1568
        wire    wire_ni0iOl_dataout;
1569
        wire    wire_ni0iOO_dataout;
1570
        wire    wire_ni0l1i_dataout;
1571
        wire    wire_ni10il_dataout;
1572
        wire    wire_ni10iO_dataout;
1573
        wire    wire_ni110i_dataout;
1574
        wire    wire_ni110l_dataout;
1575
        wire    wire_ni110O_dataout;
1576
        wire    wire_ni111i_dataout;
1577
        wire    wire_ni111l_dataout;
1578
        wire    wire_ni111O_dataout;
1579
        wire    wire_ni11ii_dataout;
1580
        wire    wire_ni11il_dataout;
1581
        wire    wire_ni11iO_dataout;
1582
        wire    wire_ni11li_dataout;
1583
        wire    wire_ni11ll_dataout;
1584
        wire    wire_niii0i_dataout;
1585
        wire    wire_niii1i_dataout;
1586
        wire    wire_niii1O_dataout;
1587
        wire    wire_niiiOi_dataout;
1588
        wire    wire_niiiOl_dataout;
1589
        wire    wire_niiiOO_dataout;
1590
        wire    wire_niil0i_dataout;
1591
        wire    wire_niil0l_dataout;
1592
        wire    wire_niil0O_dataout;
1593
        wire    wire_niil1i_dataout;
1594
        wire    wire_niil1l_dataout;
1595
        wire    wire_niil1O_dataout;
1596
        wire    wire_niilii_dataout;
1597
        wire    wire_niilil_dataout;
1598
        wire    wire_niiliO_dataout;
1599
        wire    wire_niilli_dataout;
1600
        wire    wire_niilll_dataout;
1601
        wire    wire_niillO_dataout;
1602
        wire    wire_niilOi_dataout;
1603
        wire    wire_niilOl_dataout;
1604
        wire    wire_niilOO_dataout;
1605
        wire    wire_niiO0O_dataout;
1606
        wire    wire_niiO1i_dataout;
1607
        wire    wire_niiO1l_dataout;
1608
        wire    wire_niiO1O_dataout;
1609
        wire    wire_niiOii_dataout;
1610
        wire    wire_niiOil_dataout;
1611
        wire    wire_niiOiO_dataout;
1612
        wire    wire_niiOli_dataout;
1613
        wire    wire_niiOll_dataout;
1614
        wire    wire_niiOlO_dataout;
1615
        wire    wire_nil10l_dataout;
1616
        wire    wire_nil10O_dataout;
1617
        wire    wire_nil1iO_dataout;
1618
        wire    wire_nil1li_dataout;
1619
        wire    wire_nill0O_dataout;
1620
        wire    wire_nillii_dataout;
1621
        wire    wire_nillil_dataout;
1622
        wire    wire_nilliO_dataout;
1623
        wire    wire_nillli_dataout;
1624
        wire    wire_nillll_dataout;
1625
        wire    wire_nilllO_dataout;
1626
        wire    wire_nillOi_dataout;
1627
        wire    wire_nillOl_dataout;
1628
        wire    wire_nillOO_dataout;
1629
        wire    wire_nilO0i_dataout;
1630
        wire    wire_nilO0l_dataout;
1631
        wire    wire_nilO0O_dataout;
1632
        wire    wire_nilO1i_dataout;
1633
        wire    wire_nilO1l_dataout;
1634
        wire    wire_nilO1O_dataout;
1635
        wire    wire_nilOii_dataout;
1636
        wire    wire_nilOil_dataout;
1637
        wire    wire_nilOiO_dataout;
1638
        wire    wire_nilOli_dataout;
1639
        wire    wire_niO0ll_dataout;
1640
        wire    wire_niO1iO_dataout;
1641 20 jefflieu
        wire    wire_niO1l_dataout;
1642 9 jefflieu
        wire    wire_niO1li_dataout;
1643 20 jefflieu
        wire    wire_niO1O_dataout;
1644 9 jefflieu
        wire    wire_niOi0l_dataout;
1645
        wire    wire_niOi1i_dataout;
1646
        wire    wire_niOilO_dataout;
1647
        wire    wire_nl000i_dataout;
1648
        wire    wire_nl00il_dataout;
1649
        wire    wire_nl00iO_dataout;
1650
        wire    wire_nl010O_dataout;
1651
        wire    wire_nl01ii_dataout;
1652
        wire    wire_nl01il_dataout;
1653
        wire    wire_nl01iO_dataout;
1654
        wire    wire_nl01li_dataout;
1655
        wire    wire_nl01ll_dataout;
1656
        wire    wire_nl01lO_dataout;
1657
        wire    wire_nl01Oi_dataout;
1658
        wire    wire_nl01Ol_dataout;
1659
        wire    wire_nl01OO_dataout;
1660
        wire    wire_nl101i_dataout;
1661
        wire    wire_nl101l_dataout;
1662
        wire    wire_nl110i_dataout;
1663
        wire    wire_nl110l_dataout;
1664
        wire    wire_nl110O_dataout;
1665
        wire    wire_nl111l_dataout;
1666
        wire    wire_nl111O_dataout;
1667
        wire    wire_nl11ii_dataout;
1668
        wire    wire_nl11il_dataout;
1669
        wire    wire_nl11iO_dataout;
1670
        wire    wire_nl11li_dataout;
1671
        wire    wire_nl11ll_dataout;
1672
        wire    wire_nl11lO_dataout;
1673
        wire    wire_nl11Oi_dataout;
1674
        wire    wire_nl11Ol_dataout;
1675
        wire    wire_nl11OO_dataout;
1676
        wire    wire_nl1lii_dataout;
1677
        wire    wire_nl1lil_dataout;
1678
        wire    wire_nl1liO_dataout;
1679
        wire    wire_nl1lli_dataout;
1680
        wire    wire_nl1lll_dataout;
1681
        wire    wire_nl1llO_dataout;
1682
        wire    wire_nl1lOi_dataout;
1683
        wire    wire_nl1lOl_dataout;
1684
        wire    wire_nl1lOO_dataout;
1685
        wire    wire_nl1O0i_dataout;
1686
        wire    wire_nl1O0l_dataout;
1687
        wire    wire_nl1O0O_dataout;
1688
        wire    wire_nl1O1i_dataout;
1689
        wire    wire_nl1O1l_dataout;
1690
        wire    wire_nl1O1O_dataout;
1691
        wire    wire_nl1Oii_dataout;
1692
        wire    wire_nli01i_dataout;
1693
        wire    wire_nli01l_dataout;
1694
        wire    wire_nli1ii_dataout;
1695
        wire    wire_nli1il_dataout;
1696 20 jefflieu
        wire    wire_nli1l_dataout;
1697 9 jefflieu
        wire    wire_nlii0l_dataout;
1698
        wire    wire_nlii0O_dataout;
1699
        wire    wire_nliiii_dataout;
1700
        wire    wire_nliiil_dataout;
1701
        wire    wire_nliiiO_dataout;
1702 20 jefflieu
        wire    wire_nliil1l_dataout;
1703
        wire    wire_nliil1O_dataout;
1704 9 jefflieu
        wire    wire_nliili_dataout;
1705 20 jefflieu
        wire    wire_nliiO0i_dataout;
1706
        wire    wire_nliiO0l_dataout;
1707
        wire    wire_nliiO0O_dataout;
1708
        wire    wire_nliiO1i_dataout;
1709
        wire    wire_nliiO1l_dataout;
1710
        wire    wire_nliiO1O_dataout;
1711
        wire    wire_nliiOil_dataout;
1712 9 jefflieu
        wire    wire_nliiOiO_dataout;
1713
        wire    wire_nliiOli_dataout;
1714 20 jefflieu
        wire    wire_nlil00i_dataout;
1715
        wire    wire_nlil00l_dataout;
1716
        wire    wire_nlil00O_dataout;
1717
        wire    wire_nlil0ii_dataout;
1718
        wire    wire_nlil0il_dataout;
1719
        wire    wire_nlil0iO_dataout;
1720
        wire    wire_nlil0ll_dataout;
1721 9 jefflieu
        wire    wire_nlil0lO_dataout;
1722
        wire    wire_nlil0Oi_dataout;
1723 20 jefflieu
        wire    wire_nlil10l_dataout;
1724
        wire    wire_nlil10O_dataout;
1725 9 jefflieu
        wire    wire_nlilli_dataout;
1726
        wire    wire_nlilll_dataout;
1727
        wire    wire_nlilOO_dataout;
1728
        wire    wire_nliO1i_dataout;
1729 20 jefflieu
        wire    wire_nliOll_dataout;
1730
        wire    wire_nliOlO_dataout;
1731
        wire    wire_nliOlOl_dataout;
1732
        wire    wire_nliOlOO_dataout;
1733
        wire    wire_nliOO0i_dataout;
1734 9 jefflieu
        wire    wire_nliOO0O_dataout;
1735 20 jefflieu
        wire    wire_nliOO1i_dataout;
1736 9 jefflieu
        wire    wire_nliOOii_dataout;
1737
        wire    wire_nliOOil_dataout;
1738 20 jefflieu
        wire    wire_nliOOO_dataout;
1739
        wire    wire_nll000i_dataout;
1740 9 jefflieu
        wire    wire_nll000l_dataout;
1741 20 jefflieu
        wire    wire_nll000O_dataout;
1742 9 jefflieu
        wire    wire_nll001O_dataout;
1743 20 jefflieu
        wire    wire_nll00ii_dataout;
1744
        wire    wire_nll00il_dataout;
1745
        wire    wire_nll00iO_dataout;
1746 9 jefflieu
        wire    wire_nll00li_dataout;
1747
        wire    wire_nll00ll_dataout;
1748
        wire    wire_nll00lO_dataout;
1749
        wire    wire_nll00Oi_dataout;
1750
        wire    wire_nll00Ol_dataout;
1751
        wire    wire_nll011i_dataout;
1752
        wire    wire_nll011l_dataout;
1753
        wire    wire_nll011O_dataout;
1754
        wire    wire_nll01ii_dataout;
1755
        wire    wire_nll01iO_dataout;
1756
        wire    wire_nll01li_dataout;
1757 20 jefflieu
        wire    wire_nll01ll_dataout;
1758
        wire    wire_nll01Oi_dataout;
1759 9 jefflieu
        wire    wire_nll0i1O_dataout;
1760 20 jefflieu
        wire    wire_nll0iii_dataout;
1761
        wire    wire_nll0iil_dataout;
1762
        wire    wire_nll0llO_dataout;
1763
        wire    wire_nll0lOi_dataout;
1764
        wire    wire_nll0lOl_dataout;
1765
        wire    wire_nll0lOO_dataout;
1766 9 jefflieu
        wire    wire_nll0O0i_dataout;
1767
        wire    wire_nll0O0l_dataout;
1768
        wire    wire_nll0O0O_dataout;
1769
        wire    wire_nll0O1i_dataout;
1770
        wire    wire_nll0O1l_dataout;
1771
        wire    wire_nll0O1O_dataout;
1772
        wire    wire_nll0Oii_dataout;
1773
        wire    wire_nll0Oil_dataout;
1774
        wire    wire_nll0OiO_dataout;
1775
        wire    wire_nll0Oli_dataout;
1776
        wire    wire_nll0Oll_dataout;
1777
        wire    wire_nll0OlO_dataout;
1778
        wire    wire_nll0OOi_dataout;
1779
        wire    wire_nll0OOl_dataout;
1780
        wire    wire_nll0OOO_dataout;
1781 20 jefflieu
        wire    wire_nll100i_dataout;
1782
        wire    wire_nll100l_dataout;
1783
        wire    wire_nll100O_dataout;
1784
        wire    wire_nll101i_dataout;
1785
        wire    wire_nll101l_dataout;
1786
        wire    wire_nll101O_dataout;
1787
        wire    wire_nll10ii_dataout;
1788 9 jefflieu
        wire    wire_nll10il_dataout;
1789
        wire    wire_nll10iO_dataout;
1790
        wire    wire_nll10li_dataout;
1791
        wire    wire_nll10ll_dataout;
1792
        wire    wire_nll10lO_dataout;
1793
        wire    wire_nll10Oi_dataout;
1794
        wire    wire_nll10Ol_dataout;
1795
        wire    wire_nll10OO_dataout;
1796
        wire    wire_nll1i0i_dataout;
1797
        wire    wire_nll1i0l_dataout;
1798
        wire    wire_nll1i0O_dataout;
1799
        wire    wire_nll1i1i_dataout;
1800
        wire    wire_nll1i1l_dataout;
1801
        wire    wire_nll1i1O_dataout;
1802
        wire    wire_nll1iii_dataout;
1803
        wire    wire_nll1iil_dataout;
1804
        wire    wire_nll1iiO_dataout;
1805
        wire    wire_nll1ili_dataout;
1806
        wire    wire_nll1ill_dataout;
1807
        wire    wire_nll1ilO_dataout;
1808
        wire    wire_nll1iOi_dataout;
1809
        wire    wire_nll1iOl_dataout;
1810
        wire    wire_nll1iOO_dataout;
1811
        wire    wire_nll1l0i_dataout;
1812
        wire    wire_nll1l0l_dataout;
1813
        wire    wire_nll1l0O_dataout;
1814
        wire    wire_nll1l1i_dataout;
1815
        wire    wire_nll1l1l_dataout;
1816
        wire    wire_nll1l1O_dataout;
1817 20 jefflieu
        wire    wire_nll1li_dataout;
1818 9 jefflieu
        wire    wire_nll1lii_dataout;
1819
        wire    wire_nll1lil_dataout;
1820
        wire    wire_nll1liO_dataout;
1821 20 jefflieu
        wire    wire_nll1ll_dataout;
1822 9 jefflieu
        wire    wire_nll1lli_dataout;
1823
        wire    wire_nll1lll_dataout;
1824
        wire    wire_nll1llO_dataout;
1825 20 jefflieu
        wire    wire_nll1lO_dataout;
1826 9 jefflieu
        wire    wire_nll1lOi_dataout;
1827
        wire    wire_nll1lOl_dataout;
1828
        wire    wire_nll1lOO_dataout;
1829
        wire    wire_nll1O0i_dataout;
1830
        wire    wire_nll1O0l_dataout;
1831
        wire    wire_nll1O0O_dataout;
1832
        wire    wire_nll1O1i_dataout;
1833
        wire    wire_nll1O1l_dataout;
1834
        wire    wire_nll1O1O_dataout;
1835 20 jefflieu
        wire    wire_nll1Oi_dataout;
1836 9 jefflieu
        wire    wire_nll1Oii_dataout;
1837
        wire    wire_nll1Oil_dataout;
1838
        wire    wire_nll1OiO_dataout;
1839
        wire    wire_nll1Oli_dataout;
1840
        wire    wire_nll1Oll_dataout;
1841
        wire    wire_nll1OlO_dataout;
1842
        wire    wire_nll1OOi_dataout;
1843
        wire    wire_nll1OOl_dataout;
1844
        wire    wire_nll1OOO_dataout;
1845
        wire    wire_nlli00i_dataout;
1846
        wire    wire_nlli00l_dataout;
1847
        wire    wire_nlli00O_dataout;
1848
        wire    wire_nlli01i_dataout;
1849
        wire    wire_nlli01l_dataout;
1850
        wire    wire_nlli01O_dataout;
1851 20 jefflieu
        wire    wire_nlli0i_dataout;
1852 9 jefflieu
        wire    wire_nlli0ii_dataout;
1853
        wire    wire_nlli0il_dataout;
1854
        wire    wire_nlli0iO_dataout;
1855
        wire    wire_nlli0li_dataout;
1856
        wire    wire_nlli0ll_dataout;
1857
        wire    wire_nlli0lO_dataout;
1858
        wire    wire_nlli0Oi_dataout;
1859
        wire    wire_nlli0Ol_dataout;
1860
        wire    wire_nlli0OO_dataout;
1861
        wire    wire_nlli10i_dataout;
1862
        wire    wire_nlli10l_dataout;
1863
        wire    wire_nlli10O_dataout;
1864
        wire    wire_nlli11i_dataout;
1865
        wire    wire_nlli11l_dataout;
1866
        wire    wire_nlli11O_dataout;
1867
        wire    wire_nlli1ii_dataout;
1868
        wire    wire_nlli1il_dataout;
1869
        wire    wire_nlli1iO_dataout;
1870
        wire    wire_nlli1li_dataout;
1871
        wire    wire_nlli1ll_dataout;
1872
        wire    wire_nlli1lO_dataout;
1873
        wire    wire_nlli1Oi_dataout;
1874
        wire    wire_nlli1Ol_dataout;
1875
        wire    wire_nlli1OO_dataout;
1876
        wire    wire_nllii0i_dataout;
1877
        wire    wire_nllii0l_dataout;
1878
        wire    wire_nllii0O_dataout;
1879
        wire    wire_nllii1i_dataout;
1880
        wire    wire_nllii1l_dataout;
1881
        wire    wire_nllii1O_dataout;
1882
        wire    wire_nlliiii_dataout;
1883
        wire    wire_nlliiil_dataout;
1884
        wire    wire_nlliiiO_dataout;
1885
        wire    wire_nlliili_dataout;
1886
        wire    wire_nlliill_dataout;
1887
        wire    wire_nlliilO_dataout;
1888
        wire    wire_nlliiOi_dataout;
1889
        wire    wire_nlliiOl_dataout;
1890
        wire    wire_nlliiOO_dataout;
1891
        wire    wire_nllil1l_dataout;
1892
        wire    wire_nllil1O_dataout;
1893 20 jefflieu
        wire    wire_nlliOll_dataout;
1894
        wire    wire_nlliOlO_dataout;
1895
        wire    wire_nlliOOi_dataout;
1896
        wire    wire_nlliOOl_dataout;
1897 9 jefflieu
        wire    wire_nlliOOO_dataout;
1898
        wire    wire_nlll00i_dataout;
1899
        wire    wire_nlll00l_dataout;
1900
        wire    wire_nlll00O_dataout;
1901
        wire    wire_nlll01i_dataout;
1902
        wire    wire_nlll01l_dataout;
1903
        wire    wire_nlll01O_dataout;
1904
        wire    wire_nlll0ii_dataout;
1905
        wire    wire_nlll0il_dataout;
1906
        wire    wire_nlll0iO_dataout;
1907
        wire    wire_nlll0li_dataout;
1908
        wire    wire_nlll0ll_dataout;
1909
        wire    wire_nlll0lO_dataout;
1910
        wire    wire_nlll0Oi_dataout;
1911
        wire    wire_nlll0Ol_dataout;
1912
        wire    wire_nlll0OO_dataout;
1913
        wire    wire_nlll10i_dataout;
1914
        wire    wire_nlll10l_dataout;
1915
        wire    wire_nlll10O_dataout;
1916
        wire    wire_nlll11i_dataout;
1917
        wire    wire_nlll11l_dataout;
1918
        wire    wire_nlll11O_dataout;
1919 20 jefflieu
        wire    wire_nlll1i_dataout;
1920 9 jefflieu
        wire    wire_nlll1ii_dataout;
1921
        wire    wire_nlll1il_dataout;
1922
        wire    wire_nlll1iO_dataout;
1923
        wire    wire_nlll1li_dataout;
1924
        wire    wire_nlll1ll_dataout;
1925
        wire    wire_nlll1lO_dataout;
1926
        wire    wire_nlll1Oi_dataout;
1927
        wire    wire_nlll1Ol_dataout;
1928
        wire    wire_nlll1OO_dataout;
1929
        wire    wire_nllli0i_dataout;
1930
        wire    wire_nllli0l_dataout;
1931
        wire    wire_nllli0O_dataout;
1932
        wire    wire_nllli1i_dataout;
1933
        wire    wire_nllli1l_dataout;
1934
        wire    wire_nllli1O_dataout;
1935
        wire    wire_nllliii_dataout;
1936
        wire    wire_nllliil_dataout;
1937
        wire    wire_nllliiO_dataout;
1938
        wire    wire_nlllili_dataout;
1939
        wire    wire_nlllill_dataout;
1940
        wire    wire_nlllilO_dataout;
1941
        wire    wire_nllliOi_dataout;
1942
        wire    wire_nllliOl_dataout;
1943
        wire    wire_nllliOO_dataout;
1944
        wire    wire_nllll0i_dataout;
1945
        wire    wire_nllll0l_dataout;
1946
        wire    wire_nllll0O_dataout;
1947
        wire    wire_nllll1i_dataout;
1948
        wire    wire_nllll1l_dataout;
1949
        wire    wire_nllll1O_dataout;
1950
        wire    wire_nllllii_dataout;
1951
        wire    wire_nllllil_dataout;
1952
        wire    wire_nlllliO_dataout;
1953
        wire    wire_nllllli_dataout;
1954
        wire    wire_nllllll_dataout;
1955
        wire    wire_nlllllO_dataout;
1956
        wire    wire_nllllOi_dataout;
1957
        wire    wire_nllllOl_dataout;
1958
        wire    wire_nllllOO_dataout;
1959
        wire    wire_nlllO0i_dataout;
1960
        wire    wire_nlllO0l_dataout;
1961
        wire    wire_nlllO0O_dataout;
1962
        wire    wire_nlllO1i_dataout;
1963
        wire    wire_nlllO1l_dataout;
1964
        wire    wire_nlllO1O_dataout;
1965
        wire    wire_nlllOi_dataout;
1966
        wire    wire_nlllOii_dataout;
1967
        wire    wire_nlllOil_dataout;
1968
        wire    wire_nlllOiO_dataout;
1969 20 jefflieu
        wire    wire_nlllOl_dataout;
1970 9 jefflieu
        wire    wire_nlllOli_dataout;
1971
        wire    wire_nlllOll_dataout;
1972
        wire    wire_nlllOlO_dataout;
1973 20 jefflieu
        wire    wire_nlllOO_dataout;
1974 9 jefflieu
        wire    wire_nlllOOi_dataout;
1975
        wire    wire_nlllOOl_dataout;
1976
        wire    wire_nlllOOO_dataout;
1977 20 jefflieu
        wire    wire_nllO01i_dataout;
1978
        wire    wire_nllO01l_dataout;
1979
        wire    wire_nllO0i_dataout;
1980
        wire    wire_nllO0l_dataout;
1981 9 jefflieu
        wire    wire_nllO10i_dataout;
1982
        wire    wire_nllO11i_dataout;
1983
        wire    wire_nllO11l_dataout;
1984
        wire    wire_nllO11O_dataout;
1985 20 jefflieu
        wire    wire_nllO1i_dataout;
1986
        wire    wire_nllO1l_dataout;
1987
        wire    wire_nllO1O_dataout;
1988
        wire    wire_nllO1OO_dataout;
1989
        wire    wire_nllOi0O_dataout;
1990
        wire    wire_nllOiii_dataout;
1991
        wire    wire_nllOiil_dataout;
1992
        wire    wire_nllOiiO_dataout;
1993 9 jefflieu
        wire    wire_nllOili_dataout;
1994
        wire    wire_nllOill_dataout;
1995
        wire    wire_nllOilO_dataout;
1996
        wire    wire_nllOiOi_dataout;
1997
        wire    wire_nllOiOl_dataout;
1998
        wire    wire_nllOiOO_dataout;
1999
        wire    wire_nllOl0l_dataout;
2000
        wire    wire_nllOl0O_dataout;
2001
        wire    wire_nllOl1i_dataout;
2002
        wire    wire_nllOl1l_dataout;
2003 20 jefflieu
        wire    wire_nllOlii_dataout;
2004
        wire    wire_nllOlil_dataout;
2005 9 jefflieu
        wire    wire_nllOliO_dataout;
2006
        wire    wire_nllOlli_dataout;
2007
        wire    wire_nllOlll_dataout;
2008
        wire    wire_nllOllO_dataout;
2009
        wire    wire_nlO000i_dataout;
2010
        wire    wire_nlO000l_dataout;
2011
        wire    wire_nlO000O_dataout;
2012
        wire    wire_nlO001i_dataout;
2013
        wire    wire_nlO001l_dataout;
2014
        wire    wire_nlO001O_dataout;
2015
        wire    wire_nlO00il_dataout;
2016
        wire    wire_nlO00iO_dataout;
2017
        wire    wire_nlO00li_dataout;
2018 20 jefflieu
        wire    wire_nlO00ll_dataout;
2019 9 jefflieu
        wire    wire_nlO00lO_dataout;
2020
        wire    wire_nlO00Oi_dataout;
2021
        wire    wire_nlO00Ol_dataout;
2022
        wire    wire_nlO00OO_dataout;
2023
        wire    wire_nlO010i_dataout;
2024
        wire    wire_nlO010l_dataout;
2025
        wire    wire_nlO010O_dataout;
2026
        wire    wire_nlO011i_dataout;
2027
        wire    wire_nlO011l_dataout;
2028
        wire    wire_nlO011O_dataout;
2029
        wire    wire_nlO01ii_dataout;
2030
        wire    wire_nlO01il_dataout;
2031
        wire    wire_nlO01iO_dataout;
2032
        wire    wire_nlO01li_dataout;
2033
        wire    wire_nlO01ll_dataout;
2034
        wire    wire_nlO01lO_dataout;
2035
        wire    wire_nlO01Oi_dataout;
2036
        wire    wire_nlO01Ol_dataout;
2037
        wire    wire_nlO01OO_dataout;
2038
        wire    wire_nlO0i0i_dataout;
2039
        wire    wire_nlO0i0l_dataout;
2040
        wire    wire_nlO0i0O_dataout;
2041
        wire    wire_nlO0i1i_dataout;
2042
        wire    wire_nlO0i1l_dataout;
2043
        wire    wire_nlO0i1O_dataout;
2044
        wire    wire_nlO0iii_dataout;
2045
        wire    wire_nlO0iil_dataout;
2046
        wire    wire_nlO0iiO_dataout;
2047
        wire    wire_nlO0ili_dataout;
2048
        wire    wire_nlO0ill_dataout;
2049
        wire    wire_nlO0ilO_dataout;
2050
        wire    wire_nlO0iOi_dataout;
2051
        wire    wire_nlO0iOl_dataout;
2052
        wire    wire_nlO0iOO_dataout;
2053
        wire    wire_nlO0l0i_dataout;
2054
        wire    wire_nlO0l0l_dataout;
2055
        wire    wire_nlO0l0O_dataout;
2056
        wire    wire_nlO0l1i_dataout;
2057
        wire    wire_nlO0l1l_dataout;
2058
        wire    wire_nlO0l1O_dataout;
2059
        wire    wire_nlO0lii_dataout;
2060
        wire    wire_nlO0lil_dataout;
2061
        wire    wire_nlO0liO_dataout;
2062 20 jefflieu
        wire    wire_nlO0Oll_dataout;
2063
        wire    wire_nlO0OlO_dataout;
2064
        wire    wire_nlO0OOl_dataout;
2065 9 jefflieu
        wire    wire_nlO0OOO_dataout;
2066 20 jefflieu
        wire    wire_nlO100i_dataout;
2067
        wire    wire_nlO100l_dataout;
2068
        wire    wire_nlO100O_dataout;
2069
        wire    wire_nlO101O_dataout;
2070 9 jefflieu
        wire    wire_nlO10ii_dataout;
2071
        wire    wire_nlO10il_dataout;
2072
        wire    wire_nlO10iO_dataout;
2073
        wire    wire_nlO10li_dataout;
2074
        wire    wire_nlO10ll_dataout;
2075
        wire    wire_nlO10lO_dataout;
2076
        wire    wire_nlO10Oi_dataout;
2077
        wire    wire_nlO10Ol_dataout;
2078
        wire    wire_nlO10OO_dataout;
2079
        wire    wire_nlO1i0i_dataout;
2080
        wire    wire_nlO1i0l_dataout;
2081
        wire    wire_nlO1i0O_dataout;
2082
        wire    wire_nlO1i1i_dataout;
2083
        wire    wire_nlO1i1l_dataout;
2084
        wire    wire_nlO1i1O_dataout;
2085
        wire    wire_nlO1iii_dataout;
2086
        wire    wire_nlO1iil_dataout;
2087
        wire    wire_nlO1iiO_dataout;
2088
        wire    wire_nlO1ili_dataout;
2089
        wire    wire_nlO1ill_dataout;
2090
        wire    wire_nlO1ilO_dataout;
2091
        wire    wire_nlO1iOi_dataout;
2092
        wire    wire_nlO1iOl_dataout;
2093
        wire    wire_nlO1iOO_dataout;
2094
        wire    wire_nlO1l0i_dataout;
2095
        wire    wire_nlO1l0l_dataout;
2096
        wire    wire_nlO1l0O_dataout;
2097
        wire    wire_nlO1l1i_dataout;
2098
        wire    wire_nlO1l1l_dataout;
2099
        wire    wire_nlO1l1O_dataout;
2100
        wire    wire_nlO1lii_dataout;
2101
        wire    wire_nlO1lil_dataout;
2102
        wire    wire_nlO1liO_dataout;
2103
        wire    wire_nlO1lli_dataout;
2104
        wire    wire_nlO1lll_dataout;
2105
        wire    wire_nlO1llO_dataout;
2106
        wire    wire_nlO1lOi_dataout;
2107
        wire    wire_nlO1lOl_dataout;
2108
        wire    wire_nlO1lOO_dataout;
2109
        wire    wire_nlO1O0i_dataout;
2110
        wire    wire_nlO1O0l_dataout;
2111
        wire    wire_nlO1O0O_dataout;
2112
        wire    wire_nlO1O1i_dataout;
2113
        wire    wire_nlO1O1l_dataout;
2114
        wire    wire_nlO1O1O_dataout;
2115
        wire    wire_nlO1Oii_dataout;
2116
        wire    wire_nlO1Oil_dataout;
2117
        wire    wire_nlO1OiO_dataout;
2118
        wire    wire_nlO1Oli_dataout;
2119
        wire    wire_nlO1Oll_dataout;
2120
        wire    wire_nlO1OlO_dataout;
2121
        wire    wire_nlO1OOi_dataout;
2122
        wire    wire_nlO1OOl_dataout;
2123
        wire    wire_nlO1OOO_dataout;
2124 20 jefflieu
        wire    wire_nlOi00i_dataout;
2125
        wire    wire_nlOi00l_dataout;
2126
        wire    wire_nlOi00O_dataout;
2127
        wire    wire_nlOi0ii_dataout;
2128 9 jefflieu
        wire    wire_nlOi0il_dataout;
2129
        wire    wire_nlOi0iO_dataout;
2130
        wire    wire_nlOi0li_dataout;
2131
        wire    wire_nlOi0ll_dataout;
2132
        wire    wire_nlOi10i_dataout;
2133 20 jefflieu
        wire    wire_nlOi10l_dataout;
2134
        wire    wire_nlOi10O_dataout;
2135 9 jefflieu
        wire    wire_nlOi11O_dataout;
2136 20 jefflieu
        wire    wire_nlOi1O_dataout;
2137
        wire    wire_nlOiiOl_dataout;
2138
        wire    wire_nlOiiOO_dataout;
2139
        wire    wire_nlOil1i_dataout;
2140 9 jefflieu
        wire    wire_nlOl00i_dataout;
2141
        wire    wire_nlOl00l_dataout;
2142
        wire    wire_nlOl00O_dataout;
2143
        wire    wire_nlOl01i_dataout;
2144
        wire    wire_nlOl01l_dataout;
2145
        wire    wire_nlOl01O_dataout;
2146
        wire    wire_nlOl0i_dataout;
2147
        wire    wire_nlOl0ii_dataout;
2148
        wire    wire_nlOl0il_dataout;
2149
        wire    wire_nlOl0iO_dataout;
2150
        wire    wire_nlOl0l_dataout;
2151
        wire    wire_nlOl0li_dataout;
2152
        wire    wire_nlOl0ll_dataout;
2153
        wire    wire_nlOl0lO_dataout;
2154
        wire    wire_nlOl0O_dataout;
2155
        wire    wire_nlOl0Oi_dataout;
2156
        wire    wire_nlOl0Ol_dataout;
2157
        wire    wire_nlOl0OO_dataout;
2158 20 jefflieu
        wire    wire_nlOl10l_dataout;
2159
        wire    wire_nlOl10O_dataout;
2160 9 jefflieu
        wire    wire_nlOl1i_dataout;
2161 20 jefflieu
        wire    wire_nlOl1ii_dataout;
2162
        wire    wire_nlOl1il_dataout;
2163 9 jefflieu
        wire    wire_nlOl1iO_dataout;
2164
        wire    wire_nlOl1l_dataout;
2165
        wire    wire_nlOl1li_dataout;
2166
        wire    wire_nlOl1ll_dataout;
2167
        wire    wire_nlOl1lO_dataout;
2168
        wire    wire_nlOl1O_dataout;
2169
        wire    wire_nlOl1Oi_dataout;
2170
        wire    wire_nlOl1Ol_dataout;
2171
        wire    wire_nlOl1OO_dataout;
2172
        wire    wire_nlOli0i_dataout;
2173
        wire    wire_nlOli0l_dataout;
2174
        wire    wire_nlOli0O_dataout;
2175
        wire    wire_nlOli1i_dataout;
2176
        wire    wire_nlOli1l_dataout;
2177
        wire    wire_nlOli1O_dataout;
2178
        wire    wire_nlOlii_dataout;
2179
        wire    wire_nlOliii_dataout;
2180
        wire    wire_nlOliil_dataout;
2181 20 jefflieu
        wire    wire_nlOlil_dataout;
2182 9 jefflieu
        wire    wire_nlOlilO_dataout;
2183 20 jefflieu
        wire    wire_nlOliO_dataout;
2184
        wire    wire_nlOliOi_dataout;
2185
        wire    wire_nlOlli_dataout;
2186
        wire    wire_nlOllii_dataout;
2187
        wire    wire_nlOllil_dataout;
2188
        wire    wire_nlOlliO_dataout;
2189
        wire    wire_nlOlll_dataout;
2190
        wire    wire_nlOllli_dataout;
2191 9 jefflieu
        wire    wire_nlOllll_dataout;
2192
        wire    wire_nlOlllO_dataout;
2193 20 jefflieu
        wire    wire_nlOllO_dataout;
2194 9 jefflieu
        wire    wire_nlOllOi_dataout;
2195
        wire    wire_nlOllOl_dataout;
2196
        wire    wire_nlOllOO_dataout;
2197
        wire    wire_nlOlO0i_dataout;
2198
        wire    wire_nlOlO0l_dataout;
2199
        wire    wire_nlOlO0O_dataout;
2200
        wire    wire_nlOlO1i_dataout;
2201
        wire    wire_nlOlO1l_dataout;
2202
        wire    wire_nlOlO1O_dataout;
2203 20 jefflieu
        wire    wire_nlOlOi_dataout;
2204 9 jefflieu
        wire    wire_nlOlOii_dataout;
2205
        wire    wire_nlOlOil_dataout;
2206
        wire    wire_nlOlOiO_dataout;
2207 20 jefflieu
        wire    wire_nlOlOl_dataout;
2208 9 jefflieu
        wire    wire_nlOlOli_dataout;
2209
        wire    wire_nlOlOOi_dataout;
2210 20 jefflieu
        wire    wire_nlOO00l_dataout;
2211
        wire    wire_nlOO00O_dataout;
2212
        wire    wire_nlOO0ii_dataout;
2213
        wire    wire_nlOO0il_dataout;
2214 9 jefflieu
        wire    wire_nlOO0iO_dataout;
2215
        wire    wire_nlOO0li_dataout;
2216
        wire    wire_nlOO0ll_dataout;
2217
        wire    wire_nlOO0lO_dataout;
2218
        wire    wire_nlOO0Oi_dataout;
2219
        wire    wire_nlOO0Ol_dataout;
2220
        wire    wire_nlOO0OO_dataout;
2221 20 jefflieu
        wire    wire_nlOO11O_dataout;
2222 9 jefflieu
        wire    wire_nlOOi0i_dataout;
2223
        wire    wire_nlOOi0l_dataout;
2224
        wire    wire_nlOOi0O_dataout;
2225
        wire    wire_nlOOi1i_dataout;
2226
        wire    wire_nlOOi1l_dataout;
2227
        wire    wire_nlOOi1O_dataout;
2228
        wire    wire_nlOOiii_dataout;
2229
        wire    wire_nlOOiil_dataout;
2230
        wire    wire_nlOOiiO_dataout;
2231
        wire    wire_nlOOili_dataout;
2232
        wire    wire_nlOOill_dataout;
2233
        wire    wire_nlOOilO_dataout;
2234
        wire    wire_nlOOiOi_dataout;
2235
        wire    wire_nlOOiOl_dataout;
2236
        wire    wire_nlOOiOO_dataout;
2237
        wire    wire_nlOOl0i_dataout;
2238
        wire    wire_nlOOl0l_dataout;
2239
        wire    wire_nlOOl0O_dataout;
2240
        wire    wire_nlOOl1i_dataout;
2241
        wire    wire_nlOOl1l_dataout;
2242
        wire    wire_nlOOl1O_dataout;
2243
        wire    wire_nlOOlii_dataout;
2244
        wire    wire_nlOOlil_dataout;
2245
        wire    wire_nlOOliO_dataout;
2246
        wire    wire_nlOOlli_dataout;
2247
        wire    wire_nlOOlll_dataout;
2248
        wire    wire_nlOOllO_dataout;
2249
        wire    wire_nlOOlOi_dataout;
2250
        wire    wire_nlOOlOl_dataout;
2251
        wire    wire_nlOOO0O_dataout;
2252
        wire    wire_nlOOO1i_dataout;
2253
        wire    wire_nlOOO1l_dataout;
2254 20 jefflieu
        wire    wire_nlOOOii_dataout;
2255
        wire    wire_nlOOOl_dataout;
2256
        wire    wire_nlOOOO_dataout;
2257
        wire    wire_nlOOOOl_dataout;
2258 9 jefflieu
        wire  [6:0]   wire_n00i1l_o;
2259 20 jefflieu
        wire  [3:0]   wire_n00ii_o;
2260 9 jefflieu
        wire  [1:0]   wire_n00O0i_o;
2261 20 jefflieu
        wire  [3:0]   wire_n01li_o;
2262 9 jefflieu
        wire  [3:0]   wire_n0i0ii_o;
2263
        wire  [3:0]   wire_n0il1i_o;
2264
        wire  [4:0]   wire_n0ilOl_o;
2265
        wire  [4:0]   wire_n0iO0l_o;
2266 20 jefflieu
        wire  [2:0]   wire_n100O_o;
2267
        wire  [0:0]   wire_n111i_o;
2268
        wire  [2:0]   wire_n1l0i_o;
2269
        wire  [4:0]   wire_n1OiO_o;
2270 9 jefflieu
        wire  [3:0]   wire_ni01lO_o;
2271
        wire  [3:0]   wire_ni0i0l_o;
2272
        wire  [4:0]   wire_ni0l1O_o;
2273
        wire  [4:0]   wire_ni0lil_o;
2274
        wire  [6:0]   wire_niiO0i_o;
2275 20 jefflieu
        wire  [5:0]   wire_nliiOii_o;
2276
        wire  [2:0]   wire_nliiOll_o;
2277
        wire  [5:0]   wire_nlil0li_o;
2278
        wire  [2:0]   wire_nlil0Ol_o;
2279
        wire  [2:0]   wire_nll00OO_o;
2280
        wire  [20:0]   wire_nll010i_o;
2281
        wire  [7:0]   wire_nllO0O_o;
2282
        wire  [20:0]   wire_nllO10l_o;
2283
        wire  [1:0]   wire_nllOl1O_o;
2284
        wire  [1:0]   wire_nllOlOi_o;
2285
        wire  [1:0]   wire_n010Oi_o;
2286 9 jefflieu
        wire  [1:0]   wire_n0lilO_o;
2287
        wire  [1:0]   wire_niO0lO_o;
2288
        wire  [1:0]   wire_niOi0O_o;
2289
        wire  wire_n00i1O_o;
2290
        wire  wire_n0i0il_o;
2291
        wire  wire_n0il1l_o;
2292
        wire  wire_n0iOiO_o;
2293
        wire  wire_n0l1li_o;
2294
        wire  wire_ni01Oi_o;
2295
        wire  wire_ni0i0O_o;
2296
        wire  wire_ni0llO_o;
2297
        wire  wire_ni0OOl_o;
2298
        wire  wire_niiO0l_o;
2299 20 jefflieu
        wire  wire_nlll1O_o;
2300 9 jefflieu
        wire  wire_nl100i_o;
2301
        wire  wire_nl100l_o;
2302
        wire  wire_nl100O_o;
2303
        wire  wire_nl101O_o;
2304
        wire  wire_nl10ii_o;
2305
        wire  wire_nl10il_o;
2306
        wire  wire_nl10iO_o;
2307
        wire  wire_nl10li_o;
2308
        wire  wire_nl10ll_o;
2309
        wire  wire_nl10lO_o;
2310
        wire  wire_nl10Oi_o;
2311
        wire  wire_nl10Ol_o;
2312
        wire  wire_nl10OO_o;
2313
        wire  wire_nl1i1i_o;
2314
        wire  wire_nl1i1l_o;
2315
        wire  wire_nl1i1O_o;
2316
        wire  wire_n00ill_o;
2317
        wire  wire_n00iOi_o;
2318
        wire  wire_n00iOO_o;
2319
        wire  wire_n00l1l_o;
2320
        wire  wire_n1101i_o;
2321
        wire  wire_n1110i_o;
2322
        wire  wire_n1111i_o;
2323
        wire  wire_n111il_o;
2324 20 jefflieu
        wire  wire_n111li_o;
2325 9 jefflieu
        wire  wire_n111lO_o;
2326
        wire  wire_n111Ol_o;
2327 20 jefflieu
        wire  wire_n1Oi0O_o;
2328
        wire  wire_n1OiiO_o;
2329
        wire  wire_n1Oill_o;
2330
        wire  wire_n1OiOl_o;
2331
        wire  wire_n1Ol1i_o;
2332 9 jefflieu
        wire  wire_ni101l_o;
2333
        wire  wire_ni11lO_o;
2334
        wire  wire_ni11Oi_o;
2335
        wire  wire_ni11OO_o;
2336
        wire  wire_niiOOi_o;
2337
        wire  wire_niiOOO_o;
2338
        wire  wire_nil10i_o;
2339
        wire  wire_nil11l_o;
2340 20 jefflieu
        wire  wire_nlO0lli_o;
2341
        wire  wire_nlO0llO_o;
2342 9 jefflieu
        wire  wire_nlO0lOl_o;
2343
        wire  wire_nlO0O0l_o;
2344
        wire  wire_nlO0O1i_o;
2345
        wire  wire_nlO0O1O_o;
2346
        wire  wire_nlO0Oii_o;
2347
        wire  wire_nlO0OiO_o;
2348 20 jefflieu
        wire  wire_nlOi0i_o;
2349
        wire  wire_nlOi0O_o;
2350
        wire  wire_nlOiil_o;
2351
        wire  wire_nlOili_o;
2352
        wire  wire_nlOilO_o;
2353
        wire  wire_nlOOO0i_o;
2354 9 jefflieu
        wire  wire_nlOOOil_o;
2355 20 jefflieu
        wire  wire_nlOOOli_o;
2356 9 jefflieu
        wire  wire_nlOOOlO_o;
2357 20 jefflieu
        wire  wire_nlOOOOO_o;
2358 9 jefflieu
        wire  nl0O00i;
2359
        wire  nl0O00l;
2360
        wire  nl0O00O;
2361
        wire  nl0O01i;
2362
        wire  nl0O01l;
2363
        wire  nl0O01O;
2364
        wire  nl0O0ii;
2365
        wire  nl0O0il;
2366
        wire  nl0O0iO;
2367
        wire  nl0O0li;
2368
        wire  nl0O0ll;
2369
        wire  nl0O0lO;
2370
        wire  nl0O0Oi;
2371
        wire  nl0O0Ol;
2372
        wire  nl0O0OO;
2373 20 jefflieu
        wire  nl0O10i;
2374
        wire  nl0O10l;
2375
        wire  nl0O10O;
2376
        wire  nl0O11O;
2377
        wire  nl0O1ii;
2378
        wire  nl0O1il;
2379
        wire  nl0O1iO;
2380
        wire  nl0O1li;
2381
        wire  nl0O1ll;
2382
        wire  nl0O1lO;
2383
        wire  nl0O1Oi;
2384
        wire  nl0O1Ol;
2385 9 jefflieu
        wire  nl0O1OO;
2386
        wire  nl0Oi0i;
2387
        wire  nl0Oi0l;
2388
        wire  nl0Oi0O;
2389
        wire  nl0Oi1i;
2390
        wire  nl0Oi1l;
2391
        wire  nl0Oi1O;
2392
        wire  nl0Oiii;
2393
        wire  nl0Oiil;
2394
        wire  nl0OiiO;
2395
        wire  nl0Oili;
2396
        wire  nl0Oill;
2397
        wire  nl0OilO;
2398
        wire  nl0OiOi;
2399
        wire  nl0OiOl;
2400
        wire  nl0OiOO;
2401
        wire  nl0Ol0i;
2402
        wire  nl0Ol0l;
2403
        wire  nl0Ol0O;
2404
        wire  nl0Ol1i;
2405
        wire  nl0Ol1l;
2406
        wire  nl0Ol1O;
2407
        wire  nl0Olii;
2408
        wire  nl0Olil;
2409
        wire  nl0OliO;
2410
        wire  nl0Olli;
2411
        wire  nl0Olll;
2412
        wire  nl0OllO;
2413
        wire  nl0OlOi;
2414
        wire  nl0OlOl;
2415
        wire  nl0OlOO;
2416
        wire  nl0OO0i;
2417
        wire  nl0OO0l;
2418
        wire  nl0OO0O;
2419
        wire  nl0OO1i;
2420
        wire  nl0OO1l;
2421
        wire  nl0OO1O;
2422
        wire  nl0OOii;
2423
        wire  nl0OOil;
2424
        wire  nl0OOiO;
2425
        wire  nl0OOli;
2426
        wire  nl0OOll;
2427
        wire  nl0OOlO;
2428
        wire  nl0OOOi;
2429
        wire  nl0OOOl;
2430
        wire  nl0OOOO;
2431
        wire  nli000l;
2432
        wire  nli000O;
2433
        wire  nli001i;
2434
        wire  nli001l;
2435
        wire  nli00ii;
2436
        wire  nli00il;
2437
        wire  nli00iO;
2438
        wire  nli00li;
2439
        wire  nli00ll;
2440
        wire  nli00lO;
2441 20 jefflieu
        wire  nli00Oi;
2442
        wire  nli00Ol;
2443
        wire  nli00OO;
2444
        wire  nli010l;
2445
        wire  nli010O;
2446
        wire  nli011i;
2447 9 jefflieu
        wire  nli01ii;
2448
        wire  nli01il;
2449
        wire  nli01iO;
2450 20 jefflieu
        wire  nli01li;
2451
        wire  nli01ll;
2452 9 jefflieu
        wire  nli01lO;
2453
        wire  nli01Oi;
2454 20 jefflieu
        wire  nli01Ol;
2455 9 jefflieu
        wire  nli01OO;
2456
        wire  nli0i0i;
2457
        wire  nli0i0l;
2458
        wire  nli0i0O;
2459
        wire  nli0i1i;
2460
        wire  nli0i1l;
2461
        wire  nli0i1O;
2462
        wire  nli0iii;
2463
        wire  nli0iil;
2464
        wire  nli0iiO;
2465
        wire  nli0ili;
2466
        wire  nli0ill;
2467
        wire  nli0ilO;
2468
        wire  nli0iOi;
2469
        wire  nli0iOl;
2470 20 jefflieu
        wire  nli0iOO;
2471 9 jefflieu
        wire  nli0l0O;
2472
        wire  nli0l1i;
2473
        wire  nli0l1l;
2474
        wire  nli0l1O;
2475 20 jefflieu
        wire  nli0lOO;
2476 9 jefflieu
        wire  nli0O0O;
2477 20 jefflieu
        wire  nli0O1i;
2478
        wire  nli0Oll;
2479 9 jefflieu
        wire  nli100i;
2480
        wire  nli100l;
2481
        wire  nli100O;
2482
        wire  nli101i;
2483
        wire  nli101l;
2484
        wire  nli101O;
2485
        wire  nli10ii;
2486
        wire  nli10il;
2487
        wire  nli10iO;
2488
        wire  nli10li;
2489
        wire  nli10ll;
2490
        wire  nli10lO;
2491
        wire  nli10Oi;
2492
        wire  nli10Ol;
2493
        wire  nli10OO;
2494
        wire  nli110i;
2495
        wire  nli110l;
2496
        wire  nli110O;
2497
        wire  nli111i;
2498
        wire  nli111l;
2499
        wire  nli111O;
2500
        wire  nli11ii;
2501
        wire  nli11il;
2502
        wire  nli11iO;
2503
        wire  nli11li;
2504
        wire  nli11ll;
2505
        wire  nli11lO;
2506
        wire  nli11Oi;
2507
        wire  nli11Ol;
2508
        wire  nli11OO;
2509
        wire  nli1i0i;
2510
        wire  nli1i0l;
2511
        wire  nli1i0O;
2512
        wire  nli1i1i;
2513
        wire  nli1i1l;
2514
        wire  nli1i1O;
2515
        wire  nli1iii;
2516
        wire  nli1iil;
2517
        wire  nli1iiO;
2518
        wire  nli1ili;
2519
        wire  nli1ill;
2520
        wire  nli1ilO;
2521
        wire  nli1iOi;
2522
        wire  nli1iOl;
2523
        wire  nli1iOO;
2524
        wire  nli1l0i;
2525
        wire  nli1l0l;
2526
        wire  nli1l0O;
2527
        wire  nli1l1i;
2528
        wire  nli1l1l;
2529
        wire  nli1l1O;
2530
        wire  nli1lii;
2531
        wire  nli1lil;
2532
        wire  nli1liO;
2533
        wire  nli1lli;
2534
        wire  nli1lll;
2535
        wire  nli1lOl;
2536
        wire  nli1lOO;
2537
        wire  nli1O0i;
2538
        wire  nli1O0l;
2539
        wire  nli1O1i;
2540
        wire  nli1O1l;
2541
        wire  nli1O1O;
2542 20 jefflieu
        wire  nli1OiO;
2543
        wire  nli1Oli;
2544 9 jefflieu
        wire  nli1Oll;
2545 20 jefflieu
        wire  nli1OOO;
2546
        wire  nlii00i;
2547
        wire  nlii0iO;
2548
        wire  nlii0li;
2549
        wire  nlii0ll;
2550 9 jefflieu
        wire  nlii0lO;
2551 20 jefflieu
        wire  nlii11i;
2552
        wire  nlii1il;
2553
        wire  nlii1Oi;
2554 9 jefflieu
 
2555 20 jefflieu
        altera_std_synchronizer   n1i10i
2556 9 jefflieu
        (
2557 20 jefflieu
        .clk(wire_nl00l_clkout),
2558
        .din(nll0i1l),
2559
        .dout(wire_n1i10i_dout),
2560
        .reset_n((~ nlii0li)));
2561 9 jefflieu
        defparam
2562 20 jefflieu
                n1i10i.depth = 3;
2563
        altera_std_synchronizer   n1i10O
2564 9 jefflieu
        (
2565 20 jefflieu
        .clk(wire_nl00l_clkout),
2566
        .din(nlilOl),
2567
        .dout(wire_n1i10O_dout),
2568
        .reset_n((~ nlii0li)));
2569 9 jefflieu
        defparam
2570 20 jefflieu
                n1i10O.depth = 3;
2571
        altera_std_synchronizer   n1i11O
2572 9 jefflieu
        (
2573 20 jefflieu
        .clk(wire_nl00l_clkout),
2574
        .din(nll001l),
2575
        .dout(wire_n1i11O_dout),
2576
        .reset_n((~ nlii0li)));
2577 9 jefflieu
        defparam
2578 20 jefflieu
                n1i11O.depth = 3;
2579
        altera_std_synchronizer   n1i1ii
2580 9 jefflieu
        (
2581 20 jefflieu
        .clk(wire_nl00l_clkout),
2582 9 jefflieu
        .din(nliO0l),
2583 20 jefflieu
        .dout(wire_n1i1ii_dout),
2584
        .reset_n((~ nlii0li)));
2585 9 jefflieu
        defparam
2586 20 jefflieu
                n1i1ii.depth = 3;
2587
        altera_std_synchronizer   nlili0O
2588 9 jefflieu
        (
2589 20 jefflieu
        .clk(wire_nl0ii_clkout),
2590 9 jefflieu
        .din(nliO0l),
2591 20 jefflieu
        .dout(wire_nlili0O_dout),
2592
        .reset_n((~ nlili1O)));
2593 9 jefflieu
        defparam
2594 20 jefflieu
                nlili0O.depth = 3;
2595
        altera_std_synchronizer   nliliii
2596 9 jefflieu
        (
2597 20 jefflieu
        .clk(wire_nl0ii_clkout),
2598 9 jefflieu
        .din(nliOii),
2599 20 jefflieu
        .dout(wire_nliliii_dout),
2600
        .reset_n((~ nlili1O)));
2601 9 jefflieu
        defparam
2602 20 jefflieu
                nliliii.depth = 3;
2603
        altera_std_synchronizer   nliliil
2604 9 jefflieu
        (
2605 20 jefflieu
        .clk(wire_nl0ii_clkout),
2606 9 jefflieu
        .din(nlilOl),
2607 20 jefflieu
        .dout(wire_nliliil_dout),
2608
        .reset_n((~ nlili1O)));
2609 9 jefflieu
        defparam
2610 20 jefflieu
                nliliil.depth = 3;
2611
        altera_std_synchronizer   nliliiO
2612 9 jefflieu
        (
2613 20 jefflieu
        .clk(wire_nl0ii_clkout),
2614
        .din(nliOil),
2615
        .dout(wire_nliliiO_dout),
2616
        .reset_n((~ nlili1O)));
2617
        defparam
2618
                nliliiO.depth = 3;
2619
        altera_std_synchronizer_bundle   n01ill
2620
        (
2621
        .clk(wire_nl00l_clkout),
2622 9 jefflieu
        .din({nl010i, nl011O}),
2623 20 jefflieu
        .dout(wire_n01ill_dout),
2624
        .reset_n((~ nlii0ll)));
2625 9 jefflieu
        defparam
2626 20 jefflieu
                n01ill.depth = 3,
2627
                n01ill.width = 2;
2628
        altera_std_synchronizer_bundle   n01ilO
2629 9 jefflieu
        (
2630 20 jefflieu
        .clk(wire_nl0ii_clkout),
2631 9 jefflieu
        .din({nl010i, nl011O}),
2632 20 jefflieu
        .dout(wire_n01ilO_dout),
2633
        .reset_n((~ nlili1O)));
2634 9 jefflieu
        defparam
2635 20 jefflieu
                n01ilO.depth = 3,
2636
                n01ilO.width = 2;
2637
        altera_std_synchronizer_bundle   n1i10l
2638 9 jefflieu
        (
2639 20 jefflieu
        .clk(wire_nl00l_clkout),
2640
        .din({nll0lli, nll0liO, nll0lil, nll0lii, nll0l0O, nll0l0l, nll0l0i, nll0l1O, nll0l1l, nll0l1i, nll0iOO, nll0iOl, nll0iOi, nll0ilO, nll0ill, nll0i0O}),
2641
        .dout(wire_n1i10l_dout),
2642
        .reset_n((~ nlii0li)));
2643 9 jefflieu
        defparam
2644 20 jefflieu
                n1i10l.depth = 3,
2645
                n1i10l.width = 16;
2646
        altpll   nl01O
2647 9 jefflieu
        (
2648
        .activeclock(),
2649
        .areset(gxb_pwrdn_in),
2650 20 jefflieu
        .clk(wire_nl01O_clk),
2651 9 jefflieu
        .clkbad(),
2652
        .clkloss(),
2653
        .enable0(),
2654
        .enable1(),
2655
        .extclk(),
2656
        .fbout(),
2657 20 jefflieu
        .fref(wire_nl01O_fref),
2658
        .icdrclk(wire_nl01O_icdrclk),
2659 9 jefflieu
        .inclk({1'b0, ref_clk}),
2660 20 jefflieu
        .locked(wire_nl01O_locked),
2661 9 jefflieu
        .phasedone(),
2662
        .scandataout(),
2663
        .scandone(),
2664
        .sclkout0(),
2665
        .sclkout1(),
2666
        .vcooverrange(),
2667
        .vcounderrange(),
2668
        .clkena(),
2669
        .clkswitch(),
2670
        .configupdate(),
2671
        .extclkena(),
2672
        .fbin(),
2673
        .pfdena(),
2674
        .phasecounterselect(),
2675
        .phasestep(),
2676
        .phaseupdown(),
2677
        .pllena(),
2678
        .scanaclr(),
2679
        .scanclk(),
2680
        .scanclkena(),
2681
        .scandata(),
2682
        .scanread(),
2683
        .scanwrite()
2684
        );
2685
        defparam
2686 20 jefflieu
                nl01O.bandwidth = 0,
2687
                nl01O.bandwidth_type = "HIGH",
2688
                nl01O.c0_high = 0,
2689
                nl01O.c0_initial = 0,
2690
                nl01O.c0_low = 0,
2691
                nl01O.c0_mode = "BYPASS",
2692
                nl01O.c0_ph = 0,
2693
                nl01O.c0_test_source = 5,
2694
                nl01O.c1_high = 0,
2695
                nl01O.c1_initial = 0,
2696
                nl01O.c1_low = 0,
2697
                nl01O.c1_mode = "BYPASS",
2698
                nl01O.c1_ph = 0,
2699
                nl01O.c1_test_source = 5,
2700
                nl01O.c1_use_casc_in = "OFF",
2701
                nl01O.c2_high = 0,
2702
                nl01O.c2_initial = 0,
2703
                nl01O.c2_low = 0,
2704
                nl01O.c2_mode = "BYPASS",
2705
                nl01O.c2_ph = 0,
2706
                nl01O.c2_test_source = 5,
2707
                nl01O.c2_use_casc_in = "OFF",
2708
                nl01O.c3_high = 0,
2709
                nl01O.c3_initial = 0,
2710
                nl01O.c3_low = 0,
2711
                nl01O.c3_mode = "BYPASS",
2712
                nl01O.c3_ph = 0,
2713
                nl01O.c3_test_source = 5,
2714
                nl01O.c3_use_casc_in = "OFF",
2715
                nl01O.c4_high = 0,
2716
                nl01O.c4_initial = 0,
2717
                nl01O.c4_low = 0,
2718
                nl01O.c4_mode = "BYPASS",
2719
                nl01O.c4_ph = 0,
2720
                nl01O.c4_test_source = 5,
2721
                nl01O.c4_use_casc_in = "OFF",
2722
                nl01O.c5_high = 0,
2723
                nl01O.c5_initial = 0,
2724
                nl01O.c5_low = 0,
2725
                nl01O.c5_mode = "BYPASS",
2726
                nl01O.c5_ph = 0,
2727
                nl01O.c5_test_source = 5,
2728
                nl01O.c5_use_casc_in = "OFF",
2729
                nl01O.c6_high = 0,
2730
                nl01O.c6_initial = 0,
2731
                nl01O.c6_low = 0,
2732
                nl01O.c6_mode = "BYPASS",
2733
                nl01O.c6_ph = 0,
2734
                nl01O.c6_test_source = 5,
2735
                nl01O.c6_use_casc_in = "OFF",
2736
                nl01O.c7_high = 0,
2737
                nl01O.c7_initial = 0,
2738
                nl01O.c7_low = 0,
2739
                nl01O.c7_mode = "BYPASS",
2740
                nl01O.c7_ph = 0,
2741
                nl01O.c7_test_source = 5,
2742
                nl01O.c7_use_casc_in = "OFF",
2743
                nl01O.c8_high = 0,
2744
                nl01O.c8_initial = 0,
2745
                nl01O.c8_low = 0,
2746
                nl01O.c8_mode = "BYPASS",
2747
                nl01O.c8_ph = 0,
2748
                nl01O.c8_test_source = 5,
2749
                nl01O.c8_use_casc_in = "OFF",
2750
                nl01O.c9_high = 0,
2751
                nl01O.c9_initial = 0,
2752
                nl01O.c9_low = 0,
2753
                nl01O.c9_mode = "BYPASS",
2754
                nl01O.c9_ph = 0,
2755
                nl01O.c9_test_source = 5,
2756
                nl01O.c9_use_casc_in = "OFF",
2757
                nl01O.charge_pump_current = 2,
2758
                nl01O.charge_pump_current_bits = 9999,
2759
                nl01O.clk0_counter = "G0",
2760
                nl01O.clk0_divide_by = 1,
2761
                nl01O.clk0_duty_cycle = 50,
2762
                nl01O.clk0_multiply_by = 5,
2763
                nl01O.clk0_output_frequency = 0,
2764
                nl01O.clk0_phase_shift = "0",
2765
                nl01O.clk0_time_delay = "0",
2766
                nl01O.clk0_use_even_counter_mode = "OFF",
2767
                nl01O.clk0_use_even_counter_value = "OFF",
2768
                nl01O.clk1_counter = "G0",
2769
                nl01O.clk1_divide_by = 5,
2770
                nl01O.clk1_duty_cycle = 50,
2771
                nl01O.clk1_multiply_by = 5,
2772
                nl01O.clk1_output_frequency = 0,
2773
                nl01O.clk1_phase_shift = "0",
2774
                nl01O.clk1_time_delay = "0",
2775
                nl01O.clk1_use_even_counter_mode = "OFF",
2776
                nl01O.clk1_use_even_counter_value = "OFF",
2777
                nl01O.clk2_counter = "G0",
2778
                nl01O.clk2_divide_by = 5,
2779
                nl01O.clk2_duty_cycle = 20,
2780
                nl01O.clk2_multiply_by = 5,
2781
                nl01O.clk2_output_frequency = 0,
2782
                nl01O.clk2_phase_shift = "0",
2783
                nl01O.clk2_time_delay = "0",
2784
                nl01O.clk2_use_even_counter_mode = "OFF",
2785
                nl01O.clk2_use_even_counter_value = "OFF",
2786
                nl01O.clk3_counter = "G0",
2787
                nl01O.clk3_divide_by = 1,
2788
                nl01O.clk3_duty_cycle = 50,
2789
                nl01O.clk3_multiply_by = 1,
2790
                nl01O.clk3_phase_shift = "0",
2791
                nl01O.clk3_time_delay = "0",
2792
                nl01O.clk3_use_even_counter_mode = "OFF",
2793
                nl01O.clk3_use_even_counter_value = "OFF",
2794
                nl01O.clk4_counter = "G0",
2795
                nl01O.clk4_divide_by = 1,
2796
                nl01O.clk4_duty_cycle = 50,
2797
                nl01O.clk4_multiply_by = 1,
2798
                nl01O.clk4_phase_shift = "0",
2799
                nl01O.clk4_time_delay = "0",
2800
                nl01O.clk4_use_even_counter_mode = "OFF",
2801
                nl01O.clk4_use_even_counter_value = "OFF",
2802
                nl01O.clk5_counter = "G0",
2803
                nl01O.clk5_divide_by = 1,
2804
                nl01O.clk5_duty_cycle = 50,
2805
                nl01O.clk5_multiply_by = 1,
2806
                nl01O.clk5_phase_shift = "0",
2807
                nl01O.clk5_time_delay = "0",
2808
                nl01O.clk5_use_even_counter_mode = "OFF",
2809
                nl01O.clk5_use_even_counter_value = "OFF",
2810
                nl01O.clk6_counter = "E0",
2811
                nl01O.clk6_divide_by = 0,
2812
                nl01O.clk6_duty_cycle = 50,
2813
                nl01O.clk6_multiply_by = 0,
2814
                nl01O.clk6_phase_shift = "0",
2815
                nl01O.clk6_use_even_counter_mode = "OFF",
2816
                nl01O.clk6_use_even_counter_value = "OFF",
2817
                nl01O.clk7_counter = "E1",
2818
                nl01O.clk7_divide_by = 0,
2819
                nl01O.clk7_duty_cycle = 50,
2820
                nl01O.clk7_multiply_by = 0,
2821
                nl01O.clk7_phase_shift = "0",
2822
                nl01O.clk7_use_even_counter_mode = "OFF",
2823
                nl01O.clk7_use_even_counter_value = "OFF",
2824
                nl01O.clk8_counter = "E2",
2825
                nl01O.clk8_divide_by = 0,
2826
                nl01O.clk8_duty_cycle = 50,
2827
                nl01O.clk8_multiply_by = 0,
2828
                nl01O.clk8_phase_shift = "0",
2829
                nl01O.clk8_use_even_counter_mode = "OFF",
2830
                nl01O.clk8_use_even_counter_value = "OFF",
2831
                nl01O.clk9_counter = "E3",
2832
                nl01O.clk9_divide_by = 0,
2833
                nl01O.clk9_duty_cycle = 50,
2834
                nl01O.clk9_multiply_by = 0,
2835
                nl01O.clk9_phase_shift = "0",
2836
                nl01O.clk9_use_even_counter_mode = "OFF",
2837
                nl01O.clk9_use_even_counter_value = "OFF",
2838
                nl01O.compensate_clock = "CLK0",
2839
                nl01O.down_spread = "0",
2840
                nl01O.dpa_divide_by = 1,
2841
                nl01O.dpa_divider = 0,
2842
                nl01O.dpa_multiply_by = 5,
2843
                nl01O.e0_high = 1,
2844
                nl01O.e0_initial = 1,
2845
                nl01O.e0_low = 1,
2846
                nl01O.e0_mode = "BYPASS",
2847
                nl01O.e0_ph = 0,
2848
                nl01O.e0_time_delay = 0,
2849
                nl01O.e1_high = 1,
2850
                nl01O.e1_initial = 1,
2851
                nl01O.e1_low = 1,
2852
                nl01O.e1_mode = "BYPASS",
2853
                nl01O.e1_ph = 0,
2854
                nl01O.e1_time_delay = 0,
2855
                nl01O.e2_high = 1,
2856
                nl01O.e2_initial = 1,
2857
                nl01O.e2_low = 1,
2858
                nl01O.e2_mode = "BYPASS",
2859
                nl01O.e2_ph = 0,
2860
                nl01O.e2_time_delay = 0,
2861
                nl01O.e3_high = 1,
2862
                nl01O.e3_initial = 1,
2863
                nl01O.e3_low = 1,
2864
                nl01O.e3_mode = "BYPASS",
2865
                nl01O.e3_ph = 0,
2866
                nl01O.e3_time_delay = 0,
2867
                nl01O.enable0_counter = "L0",
2868
                nl01O.enable1_counter = "L0",
2869
                nl01O.enable_switch_over_counter = "OFF",
2870
                nl01O.extclk0_counter = "E0",
2871
                nl01O.extclk0_divide_by = 1,
2872
                nl01O.extclk0_duty_cycle = 50,
2873
                nl01O.extclk0_multiply_by = 1,
2874
                nl01O.extclk0_phase_shift = "0",
2875
                nl01O.extclk0_time_delay = "0",
2876
                nl01O.extclk1_counter = "E1",
2877
                nl01O.extclk1_divide_by = 1,
2878
                nl01O.extclk1_duty_cycle = 50,
2879
                nl01O.extclk1_multiply_by = 1,
2880
                nl01O.extclk1_phase_shift = "0",
2881
                nl01O.extclk1_time_delay = "0",
2882
                nl01O.extclk2_counter = "E2",
2883
                nl01O.extclk2_divide_by = 1,
2884
                nl01O.extclk2_duty_cycle = 50,
2885
                nl01O.extclk2_multiply_by = 1,
2886
                nl01O.extclk2_phase_shift = "0",
2887
                nl01O.extclk2_time_delay = "0",
2888
                nl01O.extclk3_counter = "E3",
2889
                nl01O.extclk3_divide_by = 1,
2890
                nl01O.extclk3_duty_cycle = 50,
2891
                nl01O.extclk3_multiply_by = 1,
2892
                nl01O.extclk3_phase_shift = "0",
2893
                nl01O.extclk3_time_delay = "0",
2894
                nl01O.feedback_source = "EXTCLK0",
2895
                nl01O.g0_high = 1,
2896
                nl01O.g0_initial = 1,
2897
                nl01O.g0_low = 1,
2898
                nl01O.g0_mode = "BYPASS",
2899
                nl01O.g0_ph = 0,
2900
                nl01O.g0_time_delay = 0,
2901
                nl01O.g1_high = 1,
2902
                nl01O.g1_initial = 1,
2903
                nl01O.g1_low = 1,
2904
                nl01O.g1_mode = "BYPASS",
2905
                nl01O.g1_ph = 0,
2906
                nl01O.g1_time_delay = 0,
2907
                nl01O.g2_high = 1,
2908
                nl01O.g2_initial = 1,
2909
                nl01O.g2_low = 1,
2910
                nl01O.g2_mode = "BYPASS",
2911
                nl01O.g2_ph = 0,
2912
                nl01O.g2_time_delay = 0,
2913
                nl01O.g3_high = 1,
2914
                nl01O.g3_initial = 1,
2915
                nl01O.g3_low = 1,
2916
                nl01O.g3_mode = "BYPASS",
2917
                nl01O.g3_ph = 0,
2918
                nl01O.g3_time_delay = 0,
2919
                nl01O.gate_lock_counter = 0,
2920
                nl01O.gate_lock_signal = "NO",
2921
                nl01O.inclk0_input_frequency = 8000,
2922
                nl01O.inclk1_input_frequency = 0,
2923
                nl01O.intended_device_family = "CYCLONEIVGX",
2924
                nl01O.invalid_lock_multiplier = 5,
2925
                nl01O.l0_high = 1,
2926
                nl01O.l0_initial = 1,
2927
                nl01O.l0_low = 1,
2928
                nl01O.l0_mode = "BYPASS",
2929
                nl01O.l0_ph = 0,
2930
                nl01O.l0_time_delay = 0,
2931
                nl01O.l1_high = 1,
2932
                nl01O.l1_initial = 1,
2933
                nl01O.l1_low = 1,
2934
                nl01O.l1_mode = "BYPASS",
2935
                nl01O.l1_ph = 0,
2936
                nl01O.l1_time_delay = 0,
2937
                nl01O.lock_high = 1,
2938
                nl01O.lock_low = 1,
2939
                nl01O.lock_window_ui = " 0.05",
2940
                nl01O.loop_filter_c = 5,
2941
                nl01O.loop_filter_c_bits = 9999,
2942
                nl01O.loop_filter_r = " 1.000000",
2943
                nl01O.loop_filter_r_bits = 9999,
2944
                nl01O.m = 0,
2945
                nl01O.m2 = 1,
2946
                nl01O.m_initial = 0,
2947
                nl01O.m_ph = 0,
2948
                nl01O.m_test_source = 5,
2949
                nl01O.m_time_delay = 0,
2950
                nl01O.n = 1,
2951
                nl01O.n2 = 1,
2952
                nl01O.n_time_delay = 0,
2953
                nl01O.operation_mode = "no_compensation",
2954
                nl01O.pfd_max = 0,
2955
                nl01O.pfd_min = 0,
2956
                nl01O.pll_type = "AUTO",
2957
                nl01O.port_activeclock = "PORT_CONNECTIVITY",
2958
                nl01O.port_areset = "PORT_CONNECTIVITY",
2959
                nl01O.port_clk0 = "PORT_CONNECTIVITY",
2960
                nl01O.port_clk1 = "PORT_CONNECTIVITY",
2961
                nl01O.port_clk2 = "PORT_CONNECTIVITY",
2962
                nl01O.port_clk3 = "PORT_CONNECTIVITY",
2963
                nl01O.port_clk4 = "PORT_CONNECTIVITY",
2964
                nl01O.port_clk5 = "PORT_CONNECTIVITY",
2965
                nl01O.port_clk6 = "PORT_UNUSED",
2966
                nl01O.port_clk7 = "PORT_UNUSED",
2967
                nl01O.port_clk8 = "PORT_UNUSED",
2968
                nl01O.port_clk9 = "PORT_UNUSED",
2969
                nl01O.port_clkbad0 = "PORT_CONNECTIVITY",
2970
                nl01O.port_clkbad1 = "PORT_CONNECTIVITY",
2971
                nl01O.port_clkena0 = "PORT_CONNECTIVITY",
2972
                nl01O.port_clkena1 = "PORT_CONNECTIVITY",
2973
                nl01O.port_clkena2 = "PORT_CONNECTIVITY",
2974
                nl01O.port_clkena3 = "PORT_CONNECTIVITY",
2975
                nl01O.port_clkena4 = "PORT_CONNECTIVITY",
2976
                nl01O.port_clkena5 = "PORT_CONNECTIVITY",
2977
                nl01O.port_clkloss = "PORT_CONNECTIVITY",
2978
                nl01O.port_clkswitch = "PORT_CONNECTIVITY",
2979
                nl01O.port_configupdate = "PORT_CONNECTIVITY",
2980
                nl01O.port_enable0 = "PORT_CONNECTIVITY",
2981
                nl01O.port_enable1 = "PORT_CONNECTIVITY",
2982
                nl01O.port_extclk0 = "PORT_CONNECTIVITY",
2983
                nl01O.port_extclk1 = "PORT_CONNECTIVITY",
2984
                nl01O.port_extclk2 = "PORT_CONNECTIVITY",
2985
                nl01O.port_extclk3 = "PORT_CONNECTIVITY",
2986
                nl01O.port_extclkena0 = "PORT_CONNECTIVITY",
2987
                nl01O.port_extclkena1 = "PORT_CONNECTIVITY",
2988
                nl01O.port_extclkena2 = "PORT_CONNECTIVITY",
2989
                nl01O.port_extclkena3 = "PORT_CONNECTIVITY",
2990
                nl01O.port_fbin = "PORT_CONNECTIVITY",
2991
                nl01O.port_fbout = "PORT_CONNECTIVITY",
2992
                nl01O.port_inclk0 = "PORT_CONNECTIVITY",
2993
                nl01O.port_inclk1 = "PORT_CONNECTIVITY",
2994
                nl01O.port_locked = "PORT_CONNECTIVITY",
2995
                nl01O.port_pfdena = "PORT_CONNECTIVITY",
2996
                nl01O.port_phasecounterselect = "PORT_CONNECTIVITY",
2997
                nl01O.port_phasedone = "PORT_CONNECTIVITY",
2998
                nl01O.port_phasestep = "PORT_CONNECTIVITY",
2999
                nl01O.port_phaseupdown = "PORT_CONNECTIVITY",
3000
                nl01O.port_pllena = "PORT_CONNECTIVITY",
3001
                nl01O.port_scanaclr = "PORT_CONNECTIVITY",
3002
                nl01O.port_scanclk = "PORT_CONNECTIVITY",
3003
                nl01O.port_scanclkena = "PORT_CONNECTIVITY",
3004
                nl01O.port_scandata = "PORT_CONNECTIVITY",
3005
                nl01O.port_scandataout = "PORT_CONNECTIVITY",
3006
                nl01O.port_scandone = "PORT_CONNECTIVITY",
3007
                nl01O.port_scanread = "PORT_CONNECTIVITY",
3008
                nl01O.port_scanwrite = "PORT_CONNECTIVITY",
3009
                nl01O.port_sclkout0 = "PORT_CONNECTIVITY",
3010
                nl01O.port_sclkout1 = "PORT_CONNECTIVITY",
3011
                nl01O.port_vcooverrange = "PORT_CONNECTIVITY",
3012
                nl01O.port_vcounderrange = "PORT_CONNECTIVITY",
3013
                nl01O.primary_clock = "INCLK0",
3014
                nl01O.qualify_conf_done = "OFF",
3015
                nl01O.scan_chain = "LONG",
3016
                nl01O.sclkout0_phase_shift = "0",
3017
                nl01O.sclkout1_phase_shift = "0",
3018
                nl01O.self_reset_on_gated_loss_lock = "OFF",
3019
                nl01O.self_reset_on_loss_lock = "OFF",
3020
                nl01O.sim_gate_lock_device_behavior = "OFF",
3021
                nl01O.skip_vco = "OFF",
3022
                nl01O.spread_frequency = 0,
3023
                nl01O.ss = 1,
3024
                nl01O.switch_over_counter = 0,
3025
                nl01O.switch_over_on_gated_lock = "OFF",
3026
                nl01O.switch_over_on_lossclk = "OFF",
3027
                nl01O.switch_over_type = "AUTO",
3028
                nl01O.using_fbmimicbidir_port = "OFF",
3029
                nl01O.valid_lock_multiplier = 1,
3030
                nl01O.vco_center = 0,
3031
                nl01O.vco_divide_by = 0,
3032
                nl01O.vco_frequency_control = "AUTO",
3033
                nl01O.vco_max = 0,
3034
                nl01O.vco_min = 0,
3035
                nl01O.vco_multiply_by = 0,
3036
                nl01O.vco_phase_shift_step = 0,
3037
                nl01O.vco_post_scale = 0,
3038
                nl01O.width_clock = 6,
3039
                nl01O.width_phasecounterselect = 4;
3040 9 jefflieu
        altsyncram   n00OOO
3041
        (
3042
        .aclr0(1'b0),
3043
        .aclr1(1'b0),
3044
        .address_a({n0i1ll, n0i1li, n0i1iO, n0i10i}),
3045
        .address_b({n0ii0i, n0ii1O, n0ii1l, n0i0lO}),
3046
        .addressstall_a(1'b0),
3047
        .addressstall_b(1'b0),
3048
        .byteena_a({1'b1}),
3049
        .byteena_b({1'b1}),
3050 20 jefflieu
        .clock0(wire_nl0ii_clkout),
3051
        .clock1(wire_nl00l_clkout),
3052 9 jefflieu
        .clocken0(1'b1),
3053
        .clocken1(1'b1),
3054
        .clocken2(1'b1),
3055
        .clocken3(1'b1),
3056 20 jefflieu
        .data_a({n01O0O, n01O0l, n01O0i, n01O1O, n01O1l, n01O1i, n01lOO, n01lOl, n01lOi, n01l0O}),
3057 9 jefflieu
        .data_b({10{1'b1}}),
3058
        .eccstatus(),
3059
        .q_a(),
3060
        .q_b(wire_n00OOO_q_b),
3061
        .rden_a(1'b1),
3062
        .rden_b(1'b1),
3063 20 jefflieu
        .wren_a(n01Oii),
3064 9 jefflieu
        .wren_b(1'b0));
3065
        defparam
3066
                n00OOO.address_aclr_a = "NONE",
3067
                n00OOO.address_aclr_b = "NONE",
3068
                n00OOO.address_reg_b = "CLOCK1",
3069
                n00OOO.byte_size = 8,
3070
                n00OOO.byteena_aclr_a = "NONE",
3071
                n00OOO.byteena_aclr_b = "NONE",
3072
                n00OOO.byteena_reg_b = "CLOCK1",
3073
                n00OOO.clock_enable_core_a = "USE_INPUT_CLKEN",
3074
                n00OOO.clock_enable_core_b = "USE_INPUT_CLKEN",
3075
                n00OOO.clock_enable_input_a = "NORMAL",
3076
                n00OOO.clock_enable_input_b = "NORMAL",
3077
                n00OOO.clock_enable_output_a = "NORMAL",
3078
                n00OOO.clock_enable_output_b = "NORMAL",
3079 20 jefflieu
                n00OOO.ecc_pipeline_stage_enabled = "FALSE",
3080 9 jefflieu
                n00OOO.enable_ecc = "FALSE",
3081
                n00OOO.indata_aclr_a = "NONE",
3082
                n00OOO.indata_aclr_b = "NONE",
3083
                n00OOO.indata_reg_b = "CLOCK1",
3084
                n00OOO.init_file_layout = "PORT_A",
3085
                n00OOO.intended_device_family = "CYCLONEIVGX",
3086
                n00OOO.numwords_a = 16,
3087
                n00OOO.numwords_b = 16,
3088
                n00OOO.operation_mode = "DUAL_PORT",
3089
                n00OOO.outdata_aclr_a = "NONE",
3090
                n00OOO.outdata_aclr_b = "NONE",
3091
                n00OOO.outdata_reg_a = "UNREGISTERED",
3092
                n00OOO.outdata_reg_b = "UNREGISTERED",
3093
                n00OOO.ram_block_type = "AUTO",
3094
                n00OOO.rdcontrol_aclr_b = "NONE",
3095
                n00OOO.rdcontrol_reg_b = "CLOCK1",
3096
                n00OOO.read_during_write_mode_mixed_ports = "DONT_CARE",
3097
                n00OOO.read_during_write_mode_port_a = "NEW_DATA_NO_NBE_READ",
3098
                n00OOO.read_during_write_mode_port_b = "NEW_DATA_NO_NBE_READ",
3099
                n00OOO.width_a = 10,
3100
                n00OOO.width_b = 10,
3101
                n00OOO.width_byteena_a = 1,
3102
                n00OOO.width_byteena_b = 1,
3103
                n00OOO.width_eccstatus = 3,
3104
                n00OOO.widthad_a = 4,
3105
                n00OOO.widthad_b = 4,
3106
                n00OOO.wrcontrol_aclr_a = "NONE",
3107
                n00OOO.wrcontrol_aclr_b = "NONE",
3108
                n00OOO.wrcontrol_wraddress_reg_b = "CLOCK1",
3109
                n00OOO.lpm_hint = "WIDTH_BYTEENA=1";
3110
        altsyncram   ni1O0i
3111
        (
3112
        .aclr0(1'b0),
3113
        .aclr1(1'b0),
3114
        .address_a({ni1OOO, ni1OOl, ni1OOi, ni1Oil}),
3115
        .address_b({ni00iO, ni00il, ni00ii, ni001l}),
3116
        .addressstall_a(1'b0),
3117
        .addressstall_b(1'b0),
3118
        .byteena_a({1'b1}),
3119
        .byteena_b({1'b1}),
3120 20 jefflieu
        .clock0(wire_nl00l_clkout),
3121
        .clock1(wire_nl00l_clkout),
3122 9 jefflieu
        .clocken0(1'b1),
3123
        .clocken1(1'b1),
3124
        .clocken2(1'b1),
3125
        .clocken3(1'b1),
3126
        .data_a({nill0i, nill1O, nill1l, nill1i, niliOO, niliOl, niliOi, nililO, nilill, niliil}),
3127
        .data_b({10{1'b1}}),
3128
        .eccstatus(),
3129
        .q_a(),
3130
        .q_b(wire_ni1O0i_q_b),
3131
        .rden_a(1'b1),
3132
        .rden_b(1'b1),
3133 20 jefflieu
        .wren_a(nli1l1O),
3134 9 jefflieu
        .wren_b(1'b0));
3135
        defparam
3136
                ni1O0i.address_aclr_a = "NONE",
3137
                ni1O0i.address_aclr_b = "NONE",
3138
                ni1O0i.address_reg_b = "CLOCK1",
3139
                ni1O0i.byte_size = 8,
3140
                ni1O0i.byteena_aclr_a = "NONE",
3141
                ni1O0i.byteena_aclr_b = "NONE",
3142
                ni1O0i.byteena_reg_b = "CLOCK1",
3143
                ni1O0i.clock_enable_core_a = "USE_INPUT_CLKEN",
3144
                ni1O0i.clock_enable_core_b = "USE_INPUT_CLKEN",
3145
                ni1O0i.clock_enable_input_a = "NORMAL",
3146
                ni1O0i.clock_enable_input_b = "NORMAL",
3147
                ni1O0i.clock_enable_output_a = "NORMAL",
3148
                ni1O0i.clock_enable_output_b = "NORMAL",
3149 20 jefflieu
                ni1O0i.ecc_pipeline_stage_enabled = "FALSE",
3150 9 jefflieu
                ni1O0i.enable_ecc = "FALSE",
3151
                ni1O0i.indata_aclr_a = "NONE",
3152
                ni1O0i.indata_aclr_b = "NONE",
3153
                ni1O0i.indata_reg_b = "CLOCK1",
3154
                ni1O0i.init_file_layout = "PORT_A",
3155
                ni1O0i.intended_device_family = "CYCLONEIVGX",
3156
                ni1O0i.numwords_a = 16,
3157
                ni1O0i.numwords_b = 16,
3158
                ni1O0i.operation_mode = "DUAL_PORT",
3159
                ni1O0i.outdata_aclr_a = "NONE",
3160
                ni1O0i.outdata_aclr_b = "NONE",
3161
                ni1O0i.outdata_reg_a = "UNREGISTERED",
3162
                ni1O0i.outdata_reg_b = "UNREGISTERED",
3163
                ni1O0i.ram_block_type = "AUTO",
3164
                ni1O0i.rdcontrol_aclr_b = "NONE",
3165
                ni1O0i.rdcontrol_reg_b = "CLOCK1",
3166
                ni1O0i.read_during_write_mode_mixed_ports = "DONT_CARE",
3167
                ni1O0i.read_during_write_mode_port_a = "NEW_DATA_NO_NBE_READ",
3168
                ni1O0i.read_during_write_mode_port_b = "NEW_DATA_NO_NBE_READ",
3169
                ni1O0i.width_a = 10,
3170
                ni1O0i.width_b = 10,
3171
                ni1O0i.width_byteena_a = 1,
3172
                ni1O0i.width_byteena_b = 1,
3173
                ni1O0i.width_eccstatus = 3,
3174
                ni1O0i.widthad_a = 4,
3175
                ni1O0i.widthad_b = 4,
3176
                ni1O0i.wrcontrol_aclr_a = "NONE",
3177
                ni1O0i.wrcontrol_aclr_b = "NONE",
3178
                ni1O0i.wrcontrol_wraddress_reg_b = "CLOCK1",
3179
                ni1O0i.lpm_hint = "WIDTH_BYTEENA=1";
3180 20 jefflieu
        cycloneiv_hssi_calibration_block   nl0iO
3181 9 jefflieu
        (
3182
        .calibrationstatus(),
3183
        .clk(gxb_cal_blk_clk),
3184 20 jefflieu
        .nonusertocmu(wire_nl0iO_nonusertocmu),
3185 9 jefflieu
        .powerdn(1'b0),
3186
        .testctrl()
3187
        );
3188 20 jefflieu
        cycloneiv_hssi_cmu   nl0il
3189 9 jefflieu
        (
3190
        .adet({4{1'b0}}),
3191
        .alignstatus(),
3192
        .coreclkout(),
3193
        .digitaltestout(),
3194
        .dpclk(reconfig_clk),
3195
        .dpriodisable(reconfig_togxb[1]),
3196 20 jefflieu
        .dpriodisableout(wire_nl0il_dpriodisableout),
3197 9 jefflieu
        .dprioin(reconfig_togxb[0]),
3198
        .dprioload(reconfig_togxb[2]),
3199
        .dpriooe(),
3200 20 jefflieu
        .dprioout(wire_nl0il_dprioout),
3201 9 jefflieu
        .enabledeskew(),
3202
        .fiforesetrd(),
3203 20 jefflieu
        .fixedclk({{3{1'b0}}, (reconfig_clk & ((~ nl0lO) & (~ nl0li)))}),
3204
        .nonuserfromcal(wire_nl0iO_nonusertocmu),
3205 9 jefflieu
        .quadreset(gxb_pwrdn_in),
3206 20 jefflieu
        .quadresetout(wire_nl0il_quadresetout),
3207 9 jefflieu
        .rdalign({4{1'b0}}),
3208
        .rdenablesync(1'b0),
3209
        .recovclk(1'b0),
3210
        .refclkout(),
3211 20 jefflieu
        .rxanalogreset({{3{1'b0}}, ((~ reconfig_togxb[3]) & n1l1i)}),
3212
        .rxanalogresetout(wire_nl0il_rxanalogresetout),
3213
        .rxcrupowerdown(wire_nl0il_rxcrupowerdown),
3214 9 jefflieu
        .rxctrl({4{1'b0}}),
3215
        .rxctrlout(),
3216
        .rxdatain({32{1'b0}}),
3217
        .rxdataout(),
3218
        .rxdatavalid({4{1'b0}}),
3219 20 jefflieu
        .rxdigitalreset({{3{1'b0}}, nliii0O}),
3220
        .rxdigitalresetout(wire_nl0il_rxdigitalresetout),
3221
        .rxibpowerdown(wire_nl0il_rxibpowerdown),
3222
        .rxpcsdprioin({{1200{1'b0}}, wire_nl0ii_dprioout[399:0]}),
3223
        .rxpcsdprioout(wire_nl0il_rxpcsdprioout),
3224 9 jefflieu
        .rxphfifox4byteselout(),
3225
        .rxphfifox4rdenableout(),
3226
        .rxphfifox4wrclkout(),
3227
        .rxphfifox4wrenableout(),
3228 20 jefflieu
        .rxpmadprioin({{900{1'b0}}, wire_nl00O_dprioout[299:0]}),
3229
        .rxpmadprioout(wire_nl0il_rxpmadprioout),
3230 9 jefflieu
        .rxpowerdown({4{1'b0}}),
3231
        .rxrunningdisp({4{1'b0}}),
3232
        .syncstatus({4{1'b0}}),
3233
        .testout(),
3234 20 jefflieu
        .txanalogresetout(wire_nl0il_txanalogresetout),
3235 9 jefflieu
        .txctrl({4{1'b0}}),
3236
        .txctrlout(),
3237
        .txdatain({32{1'b0}}),
3238
        .txdataout(),
3239 20 jefflieu
        .txdetectrxpowerdown(wire_nl0il_txdetectrxpowerdown),
3240
        .txdigitalreset({{3{1'b0}}, nliiiiO}),
3241
        .txdigitalresetout(wire_nl0il_txdigitalresetout),
3242
        .txdividerpowerdown(wire_nl0il_txdividerpowerdown),
3243
        .txobpowerdown(wire_nl0il_txobpowerdown),
3244
        .txpcsdprioin({{450{1'b0}}, wire_nl00l_dprioout[149:0]}),
3245
        .txpcsdprioout(wire_nl0il_txpcsdprioout),
3246 9 jefflieu
        .txphfifox4byteselout(),
3247
        .txphfifox4rdclkout(),
3248
        .txphfifox4rdenableout(),
3249
        .txphfifox4wrenableout(),
3250 20 jefflieu
        .txpmadprioin({{900{1'b0}}, wire_nl00i_dprioout[299:0]}),
3251
        .txpmadprioout(wire_nl0il_txpmadprioout),
3252 9 jefflieu
        .pmacramtest(),
3253
        .refclkdig(),
3254
        .rxcoreclk(),
3255
        .rxphfifordenable(),
3256
        .rxphfiforeset(),
3257
        .rxphfifowrdisable(),
3258
        .scanclk(),
3259
        .scanmode(),
3260
        .scanshift(),
3261
        .testin(),
3262
        .txclk(),
3263
        .txcoreclk(),
3264
        .txphfiforddisable(),
3265
        .txphfiforeset(),
3266
        .txphfifowrenable()
3267
        );
3268
        defparam
3269 20 jefflieu
                nl0il.auto_spd_deassert_ph_fifo_rst_count = 8,
3270
                nl0il.auto_spd_phystatus_notify_count = 0,
3271
                nl0il.devaddr = 1,
3272
                nl0il.dprio_config_mode = 6'h01,
3273
                nl0il.in_xaui_mode = "false",
3274
                nl0il.lpm_type = "cycloneiv_hssi_cmu",
3275
                nl0il.portaddr = 1,
3276
                nl0il.rx0_channel_bonding = "none",
3277
                nl0il.rx0_clk1_mux_select = "recovered clock",
3278
                nl0il.rx0_clk2_mux_select = "recovered clock",
3279
                nl0il.rx0_ph_fifo_reg_mode = "false",
3280
                nl0il.rx0_rd_clk_mux_select = "core clock",
3281
                nl0il.rx0_recovered_clk_mux_select = "recovered clock",
3282
                nl0il.rx0_reset_clock_output_during_digital_reset = "false",
3283
                nl0il.rx0_use_double_data_mode = "false",
3284
                nl0il.tx0_channel_bonding = "none",
3285
                nl0il.tx0_rd_clk_mux_select = "central",
3286
                nl0il.tx0_reset_clock_output_during_digital_reset = "false",
3287
                nl0il.tx0_use_double_data_mode = "false",
3288
                nl0il.tx0_wr_clk_mux_select = "core_clk",
3289
                nl0il.use_coreclk_out_post_divider = "false",
3290
                nl0il.use_deskew_fifo = "false";
3291
        cycloneiv_hssi_rx_pcs   nl0ii
3292 9 jefflieu
        (
3293
        .a1a2size(1'b0),
3294
        .a1a2sizeout(),
3295
        .a1detect(),
3296
        .a2detect(),
3297
        .adetectdeskew(),
3298
        .alignstatus(1'b0),
3299
        .alignstatussync(1'b0),
3300
        .alignstatussyncout(),
3301
        .bistdone(),
3302
        .bisterr(),
3303
        .bitslipboundaryselectout(),
3304
        .byteorderalignstatus(),
3305
        .cdrctrlearlyeios(),
3306
        .cdrctrllocktorefcl(reconfig_togxb[3]),
3307 20 jefflieu
        .cdrctrllocktorefclkout(wire_nl0ii_cdrctrllocktorefclkout),
3308
        .clkout(wire_nl0ii_clkout),
3309
        .coreclk(wire_nl0ii_clkout),
3310 9 jefflieu
        .coreclkout(),
3311 20 jefflieu
        .ctrldetect(wire_nl0ii_ctrldetect),
3312
        .datain({wire_nl00O_recoverdataout[9:0]}),
3313
        .dataout(wire_nl0ii_dataout),
3314 9 jefflieu
        .dataoutfull(),
3315 20 jefflieu
        .digitalreset(wire_nl0il_rxdigitalresetout[0]),
3316
        .disperr(wire_nl0ii_disperr),
3317
        .dpriodisable(wire_nl0il_dpriodisableout),
3318
        .dprioin({wire_nl0il_rxpcsdprioout[399:0]}),
3319
        .dprioout(wire_nl0ii_dprioout),
3320 9 jefflieu
        .enabledeskew(1'b0),
3321
        .enabyteord(1'b0),
3322
        .enapatternalign(1'b0),
3323 20 jefflieu
        .errdetect(wire_nl0ii_errdetect),
3324 9 jefflieu
        .fifordin(1'b0),
3325
        .fifordout(),
3326
        .fiforesetrd(1'b0),
3327
        .hipdataout(),
3328
        .hipdatavalid(),
3329
        .hipelecidle(),
3330
        .hipphydonestatus(),
3331
        .hipstatus(),
3332
        .invpol(1'b0),
3333
        .k1detect(),
3334
        .k2detect(),
3335
        .masterclk(1'b0),
3336
        .parallelfdbk({20{1'b0}}),
3337 20 jefflieu
        .patterndetect(wire_nl0ii_patterndetect),
3338 9 jefflieu
        .phfifooverflow(),
3339
        .phfifordenable(1'b1),
3340
        .phfifordenableout(),
3341
        .phfiforeset(1'b0),
3342
        .phfiforesetout(),
3343
        .phfifounderflow(),
3344
        .phfifowrdisable(1'b0),
3345
        .phfifowrdisableout(),
3346
        .pipebufferstat(),
3347
        .pipedatavalid(),
3348
        .pipeelecidle(),
3349
        .pipephydonestatus(),
3350
        .pipepowerdown({2{1'b0}}),
3351
        .pipepowerstate({4{1'b0}}),
3352
        .pipestatetransdoneout(),
3353
        .pipestatus(),
3354
        .prbscidenable(1'b0),
3355 20 jefflieu
        .quadreset(wire_nl0il_quadresetout),
3356 9 jefflieu
        .rdalign(),
3357 20 jefflieu
        .recoveredclk(wire_nl00O_clockout),
3358 9 jefflieu
        .revbitorderwa(1'b0),
3359
        .revparallelfdbkdata(),
3360 20 jefflieu
        .rlv(wire_nl0ii_rlv),
3361 9 jefflieu
        .rmfifodatadeleted(),
3362
        .rmfifodatainserted(),
3363
        .rmfifoempty(),
3364
        .rmfifofull(),
3365
        .rmfifordena(1'b0),
3366
        .rmfiforeset(1'b0),
3367
        .rmfifowrena(1'b0),
3368 20 jefflieu
        .runningdisp(wire_nl0ii_runningdisp),
3369 9 jefflieu
        .rxdetectvalid(1'b0),
3370
        .rxfound({2{1'b0}}),
3371
        .signaldetect(),
3372 20 jefflieu
        .signaldetected(wire_nl00O_signaldetect),
3373
        .syncstatus(wire_nl0ii_syncstatus),
3374 9 jefflieu
        .syncstatusdeskew(),
3375
        .xauidelcondmetout(),
3376
        .xauififoovrout(),
3377
        .xauiinsertincompleteout(),
3378
        .xauilatencycompout(),
3379
        .xgmctrldet(),
3380
        .xgmctrlin(1'b0),
3381
        .xgmdatain({8{1'b0}}),
3382
        .xgmdataout(),
3383
        .xgmdatavalid(),
3384
        .xgmrunningdisp(),
3385
        .bitslip(),
3386
        .elecidleinfersel(),
3387
        .grayelecidleinferselfromtx(),
3388
        .hip8b10binvpolarity(),
3389
        .hipelecidleinfersel(),
3390
        .hippowerdown(),
3391
        .localrefclk(),
3392
        .phfifox4bytesel(),
3393
        .phfifox4rdenable(),
3394
        .phfifox4wrclk(),
3395
        .phfifox4wrenable(),
3396
        .pipe8b10binvpolarity(),
3397
        .pipeenrevparallellpbkfromtx(),
3398
        .pmatestbusin(),
3399
        .powerdn(),
3400
        .refclk(),
3401
        .revbyteorderwa(),
3402
        .wareset(),
3403
        .xauidelcondmet(),
3404
        .xauififoovr(),
3405
        .xauiinsertincomplete(),
3406
        .xauilatencycomp()
3407
        );
3408
        defparam
3409 20 jefflieu
                nl0ii.align_pattern = "1111100",
3410
                nl0ii.align_pattern_length = 7,
3411
                nl0ii.allow_align_polarity_inversion = "false",
3412
                nl0ii.allow_pipe_polarity_inversion = "false",
3413
                nl0ii.auto_spd_deassert_ph_fifo_rst_count = 8,
3414
                nl0ii.auto_spd_phystatus_notify_count = 0,
3415
                nl0ii.bit_slip_enable = "false",
3416
                nl0ii.byte_order_mode = "none",
3417
                nl0ii.byte_order_pad_pattern = "0",
3418
                nl0ii.byte_order_pattern = "0",
3419
                nl0ii.byte_order_pld_ctrl_enable = "false",
3420
                nl0ii.cdrctrl_bypass_ppm_detector_cycle = 1000,
3421
                nl0ii.cdrctrl_enable = "false",
3422
                nl0ii.cdrctrl_mask_cycle = 800,
3423
                nl0ii.cdrctrl_min_lock_to_ref_cycle = 63,
3424
                nl0ii.cdrctrl_rxvalid_mask = "false",
3425
                nl0ii.channel_bonding = "none",
3426
                nl0ii.channel_number = 0,
3427
                nl0ii.channel_width = 8,
3428
                nl0ii.clk1_mux_select = "recovered clock",
3429
                nl0ii.clk2_mux_select = "recovered clock",
3430
                nl0ii.core_clock_0ppm = "false",
3431
                nl0ii.datapath_low_latency_mode = "false",
3432
                nl0ii.datapath_protocol = "basic",
3433
                nl0ii.dec_8b_10b_compatibility_mode = "true",
3434
                nl0ii.dec_8b_10b_mode = "normal",
3435
                nl0ii.deskew_pattern = "0",
3436
                nl0ii.disable_auto_idle_insertion = "true",
3437
                nl0ii.disable_running_disp_in_word_align = "false",
3438
                nl0ii.disallow_kchar_after_pattern_ordered_set = "false",
3439
                nl0ii.dprio_config_mode = 6'h01,
3440
                nl0ii.elec_idle_infer_enable = "false",
3441
                nl0ii.elec_idle_num_com_detect = 3,
3442
                nl0ii.enable_bit_reversal = "false",
3443
                nl0ii.enable_self_test_mode = "false",
3444
                nl0ii.force_signal_detect_dig = "true",
3445
                nl0ii.hip_enable = "false",
3446
                nl0ii.infiniband_invalid_code = 0,
3447
                nl0ii.insert_pad_on_underflow = "false",
3448
                nl0ii.lpm_type = "cycloneiv_hssi_rx_pcs",
3449
                nl0ii.num_align_code_groups_in_ordered_set = 1,
3450
                nl0ii.num_align_cons_good_data = 4,
3451
                nl0ii.num_align_cons_pat = 3,
3452
                nl0ii.num_align_loss_sync_error = 4,
3453
                nl0ii.ph_fifo_low_latency_enable = "true",
3454
                nl0ii.ph_fifo_reg_mode = "false",
3455
                nl0ii.protocol_hint = "gige",
3456
                nl0ii.rate_match_back_to_back = "true",
3457
                nl0ii.rate_match_delete_threshold = 13,
3458
                nl0ii.rate_match_empty_threshold = 5,
3459
                nl0ii.rate_match_fifo_mode = "false",
3460
                nl0ii.rate_match_full_threshold = 20,
3461
                nl0ii.rate_match_insert_threshold = 11,
3462
                nl0ii.rate_match_ordered_set_based = "true",
3463
                nl0ii.rate_match_pattern1 = "10100010010101111100",
3464
                nl0ii.rate_match_pattern2 = "10101011011010000011",
3465
                nl0ii.rate_match_pattern_size = 20,
3466
                nl0ii.rate_match_reset_enable = "false",
3467
                nl0ii.rate_match_skip_set_based = "false",
3468
                nl0ii.rate_match_start_threshold = 7,
3469
                nl0ii.rd_clk_mux_select = "core clock",
3470
                nl0ii.recovered_clk_mux_select = "recovered clock",
3471
                nl0ii.run_length = 5,
3472
                nl0ii.run_length_enable = "true",
3473
                nl0ii.rx_detect_bypass = "false",
3474
                nl0ii.rx_phfifo_wait_cnt = 15,
3475
                nl0ii.rxstatus_error_report_mode = 0,
3476
                nl0ii.self_test_mode = "incremental",
3477
                nl0ii.use_alignment_state_machine = "true",
3478
                nl0ii.use_deskew_fifo = "false",
3479
                nl0ii.use_double_data_mode = "false",
3480
                nl0ii.use_parallel_loopback = "false";
3481
        cycloneiv_hssi_rx_pma   nl00O
3482 9 jefflieu
        (
3483
        .analogtestbus(),
3484 20 jefflieu
        .clockout(wire_nl00O_clockout),
3485
        .crupowerdn(wire_nl0il_rxcrupowerdown[0]),
3486 9 jefflieu
        .datain(rxp),
3487
        .datastrobeout(),
3488 20 jefflieu
        .deserclock(wire_nl01O_icdrclk),
3489
        .diagnosticlpbkout(wire_nl00O_diagnosticlpbkout),
3490
        .dpriodisable(wire_nl0il_dpriodisableout),
3491
        .dprioin({wire_nl0il_rxpmadprioout[299:0]}),
3492
        .dprioout(wire_nl00O_dprioout),
3493
        .freqlocked(wire_nl00O_freqlocked),
3494 9 jefflieu
        .locktodata(1'b0),
3495 20 jefflieu
        .locktoref(wire_nl0ii_cdrctrllocktorefclkout),
3496 9 jefflieu
        .locktorefout(),
3497 20 jefflieu
        .powerdn(wire_nl0il_rxibpowerdown[0]),
3498
        .ppmdetectrefclk(wire_nl01O_fref),
3499
        .recoverdataout(wire_nl00O_recoverdataout),
3500
        .reverselpbkout(wire_nl00O_reverselpbkout),
3501
        .rxpmareset(wire_nl0il_rxanalogresetout[0]),
3502
        .seriallpbkin(wire_nl00i_seriallpbkout),
3503
        .signaldetect(wire_nl00O_signaldetect),
3504 9 jefflieu
        .testbussel({1'b0, {2{1'b1}}, 1'b0}),
3505
        .dpashift()
3506
        );
3507
        defparam
3508 20 jefflieu
                nl00O.allow_serial_loopback = "false",
3509
                nl00O.channel_number = 0,
3510
                nl00O.common_mode = "0.82V",
3511
                nl00O.deserialization_factor = 10,
3512
                nl00O.dprio_config_mode = 6'h01,
3513
                nl00O.effective_data_rate = "1250.0 Mbps",
3514
                nl00O.enable_local_divider = "false",
3515
                nl00O.enable_ltd = "false",
3516
                nl00O.enable_ltr = "false",
3517
                nl00O.enable_second_order_loop = "false",
3518
                nl00O.eq_dc_gain = 0,
3519
                nl00O.eq_setting = 1,
3520
                nl00O.force_signal_detect = "true",
3521
                nl00O.logical_channel_address = 0,
3522
                nl00O.loop_1_digital_filter = 8,
3523
                nl00O.lpm_type = "cycloneiv_hssi_rx_pma",
3524
                nl00O.offset_cancellation = 1,
3525
                nl00O.ppm_gen1_2_xcnt_en = 1,
3526
                nl00O.ppm_post_eidle = 0,
3527
                nl00O.ppmselect = 8,
3528
                nl00O.protocol_hint = "gige",
3529
                nl00O.signal_detect_hysteresis = 8,
3530
                nl00O.signal_detect_hysteresis_valid_threshold = 14,
3531
                nl00O.signal_detect_loss_threshold = 1,
3532
                nl00O.termination = "OCT 100 Ohms",
3533
                nl00O.use_external_termination = "false";
3534
        cycloneiv_hssi_tx_pcs   nl00l
3535 9 jefflieu
        (
3536 20 jefflieu
        .clkout(wire_nl00l_clkout),
3537
        .coreclk(wire_nl00l_clkout),
3538 9 jefflieu
        .coreclkout(),
3539 20 jefflieu
        .ctrlenable({1'b0, n1i1lO}),
3540
        .datain({{12{1'b0}}, n1i0iO, n1i0il, n1i0ii, n1i00O, n1i00l, n1i00i, n1i01O, n1i01l}),
3541 9 jefflieu
        .datainfull({22{1'b0}}),
3542 20 jefflieu
        .dataout(wire_nl00l_dataout),
3543 9 jefflieu
        .detectrxloop(1'b0),
3544 20 jefflieu
        .digitalreset(wire_nl0il_txdigitalresetout[0]),
3545
        .dpriodisable(wire_nl0il_dpriodisableout),
3546
        .dprioin({wire_nl0il_txpcsdprioout[149:0]}),
3547
        .dprioout(wire_nl00l_dprioout),
3548 9 jefflieu
        .enrevparallellpbk(1'b0),
3549
        .forcedisp({2{1'b0}}),
3550
        .forceelecidleout(),
3551
        .grayelecidleinferselout(),
3552
        .hiptxclkout(),
3553
        .invpol(1'b0),
3554 20 jefflieu
        .localrefclk(wire_nl00i_clockout),
3555 9 jefflieu
        .parallelfdbkout(),
3556
        .phfifooverflow(),
3557
        .phfiforddisable(1'b0),
3558
        .phfiforddisableout(),
3559
        .phfiforeset(1'b0),
3560
        .phfiforesetout(),
3561
        .phfifounderflow(),
3562
        .phfifowrenable(1'b1),
3563
        .phfifowrenableout(),
3564
        .pipeenrevparallellpbkout(),
3565
        .pipepowerdownout(),
3566
        .pipepowerstateout(),
3567
        .pipestatetransdone(1'b0),
3568
        .powerdn({2{1'b0}}),
3569 20 jefflieu
        .quadreset(wire_nl0il_quadresetout),
3570 9 jefflieu
        .rdenablesync(),
3571
        .revparallelfdbk({20{1'b0}}),
3572 20 jefflieu
        .txdetectrx(wire_nl00l_txdetectrx),
3573 9 jefflieu
        .xgmctrlenable(),
3574
        .xgmdataout(),
3575
        .bitslipboundaryselect(),
3576
        .dispval(),
3577
        .elecidleinfersel(),
3578
        .forceelecidle(),
3579
        .hipdatain(),
3580
        .hipdetectrxloop(),
3581
        .hipelecidleinfersel(),
3582
        .hipforceelecidle(),
3583
        .hippowerdn(),
3584
        .phfifox4bytesel(),
3585
        .phfifox4rdclk(),
3586
        .phfifox4rdenable(),
3587
        .phfifox4wrenable(),
3588
        .pipetxswing(),
3589
        .prbscidenable(),
3590
        .refclk(),
3591
        .xgmctrl(),
3592
        .xgmdatain()
3593
        );
3594
        defparam
3595 20 jefflieu
                nl00l.allow_polarity_inversion = "false",
3596
                nl00l.bitslip_enable = "false",
3597
                nl00l.channel_bonding = "none",
3598
                nl00l.channel_number = 0,
3599
                nl00l.channel_width = 8,
3600
                nl00l.core_clock_0ppm = "false",
3601
                nl00l.datapath_low_latency_mode = "false",
3602
                nl00l.datapath_protocol = "basic",
3603
                nl00l.disable_ph_low_latency_mode = "false",
3604
                nl00l.disparity_mode = "none",
3605
                nl00l.dprio_config_mode = 6'h01,
3606
                nl00l.elec_idle_delay = 6,
3607
                nl00l.enable_bit_reversal = "false",
3608
                nl00l.enable_idle_selection = "true",
3609
                nl00l.enable_reverse_parallel_loopback = "false",
3610
                nl00l.enable_self_test_mode = "false",
3611
                nl00l.enc_8b_10b_compatibility_mode = "true",
3612
                nl00l.enc_8b_10b_mode = "normal",
3613
                nl00l.hip_enable = "false",
3614
                nl00l.lpm_type = "cycloneiv_hssi_tx_pcs",
3615
                nl00l.ph_fifo_reg_mode = "false",
3616
                nl00l.prbs_cid_pattern = "false",
3617
                nl00l.protocol_hint = "gige",
3618
                nl00l.refclk_select = "local",
3619
                nl00l.self_test_mode = "incremental",
3620
                nl00l.use_double_data_mode = "false",
3621
                nl00l.wr_clk_mux_select = "core_clk";
3622
        cycloneiv_hssi_tx_pma   nl00i
3623 9 jefflieu
        (
3624 20 jefflieu
        .cgbpowerdn(wire_nl0il_txdividerpowerdown[0]),
3625
        .clockout(wire_nl00i_clockout),
3626
        .datain({wire_nl00l_dataout[9:0]}),
3627
        .dataout(wire_nl00i_dataout),
3628
        .detectrxpowerdown(wire_nl0il_txdetectrxpowerdown[0]),
3629
        .diagnosticlpbkin(wire_nl00O_diagnosticlpbkout),
3630
        .dpriodisable(wire_nl0il_dpriodisableout),
3631
        .dprioin({wire_nl0il_txpmadprioout[299:0]}),
3632
        .dprioout(wire_nl00i_dprioout),
3633
        .fastrefclk0in(wire_nl01O_clk[0]),
3634 9 jefflieu
        .forceelecidle(1'b0),
3635 20 jefflieu
        .powerdn(wire_nl0il_txobpowerdown[0]),
3636
        .refclk0in(wire_nl01O_clk[1]),
3637
        .refclk0inpulse(wire_nl01O_clk[2]),
3638
        .reverselpbkin(wire_nl00O_reverselpbkout),
3639
        .rxdetecten(wire_nl00l_txdetectrx),
3640 9 jefflieu
        .rxdetectvalidout(),
3641
        .rxfoundout(),
3642 20 jefflieu
        .seriallpbkout(wire_nl00i_seriallpbkout),
3643
        .txpmareset(wire_nl0il_txanalogresetout[0]),
3644 9 jefflieu
        .rxdetectclk()
3645
        );
3646
        defparam
3647 20 jefflieu
                nl00i.channel_number = 0,
3648
                nl00i.common_mode = "0.65V",
3649
                nl00i.dprio_config_mode = 6'h01,
3650
                nl00i.effective_data_rate = "1250.0 Mbps",
3651
                nl00i.enable_diagnostic_loopback = "false",
3652
                nl00i.enable_reverse_serial_loopback = "false",
3653
                nl00i.logical_channel_address = 0,
3654
                nl00i.lpm_type = "cycloneiv_hssi_tx_pma",
3655
                nl00i.preemp_tap_1 = 1,
3656
                nl00i.protocol_hint = "gige",
3657
                nl00i.rx_detect = 0,
3658
                nl00i.serialization_factor = 10,
3659
                nl00i.slew_rate = "medium",
3660
                nl00i.termination = "OCT 100 Ohms",
3661
                nl00i.use_external_termination = "false",
3662
                nl00i.use_rx_detect = "false",
3663
                nl00i.vod_selection = 1;
3664 9 jefflieu
        initial
3665 20 jefflieu
                nli000i55 = 0;
3666
        always @ ( posedge wire_nl0ii_clkout)
3667
                  nli000i55 <= nli000i56;
3668
        event nli000i55_event;
3669 9 jefflieu
        initial
3670 20 jefflieu
                #1 ->nli000i55_event;
3671
        always @(nli000i55_event)
3672
                nli000i55 <= {1{1'b1}};
3673 9 jefflieu
        initial
3674 20 jefflieu
                nli000i56 = 0;
3675
        always @ ( posedge wire_nl0ii_clkout)
3676
                  nli000i56 <= nli000i55;
3677 9 jefflieu
        initial
3678 20 jefflieu
                nli001O57 = 0;
3679
        always @ ( posedge wire_nl0ii_clkout)
3680
                  nli001O57 <= nli001O58;
3681
        event nli001O57_event;
3682 9 jefflieu
        initial
3683 20 jefflieu
                #1 ->nli001O57_event;
3684
        always @(nli001O57_event)
3685
                nli001O57 <= {1{1'b1}};
3686 9 jefflieu
        initial
3687 20 jefflieu
                nli001O58 = 0;
3688
        always @ ( posedge wire_nl0ii_clkout)
3689
                  nli001O58 <= nli001O57;
3690 9 jefflieu
        initial
3691 20 jefflieu
                nli010i59 = 0;
3692
        always @ ( posedge wire_nl0ii_clkout)
3693
                  nli010i59 <= nli010i60;
3694
        event nli010i59_event;
3695 9 jefflieu
        initial
3696 20 jefflieu
                #1 ->nli010i59_event;
3697
        always @(nli010i59_event)
3698
                nli010i59 <= {1{1'b1}};
3699 9 jefflieu
        initial
3700 20 jefflieu
                nli010i60 = 0;
3701
        always @ ( posedge wire_nl0ii_clkout)
3702
                  nli010i60 <= nli010i59;
3703 9 jefflieu
        initial
3704 20 jefflieu
                nli011l63 = 0;
3705
        always @ ( posedge wire_nl0ii_clkout)
3706
                  nli011l63 <= nli011l64;
3707
        event nli011l63_event;
3708 9 jefflieu
        initial
3709 20 jefflieu
                #1 ->nli011l63_event;
3710
        always @(nli011l63_event)
3711
                nli011l63 <= {1{1'b1}};
3712 9 jefflieu
        initial
3713 20 jefflieu
                nli011l64 = 0;
3714
        always @ ( posedge wire_nl0ii_clkout)
3715
                  nli011l64 <= nli011l63;
3716 9 jefflieu
        initial
3717 20 jefflieu
                nli011O61 = 0;
3718
        always @ ( posedge wire_nl0ii_clkout)
3719
                  nli011O61 <= nli011O62;
3720
        event nli011O61_event;
3721 9 jefflieu
        initial
3722 20 jefflieu
                #1 ->nli011O61_event;
3723
        always @(nli011O61_event)
3724
                nli011O61 <= {1{1'b1}};
3725 9 jefflieu
        initial
3726 20 jefflieu
                nli011O62 = 0;
3727
        always @ ( posedge wire_nl0ii_clkout)
3728
                  nli011O62 <= nli011O61;
3729 9 jefflieu
        initial
3730 20 jefflieu
                nli0l0i53 = 0;
3731
        always @ ( posedge wire_nl0ii_clkout)
3732
                  nli0l0i53 <= nli0l0i54;
3733
        event nli0l0i53_event;
3734 9 jefflieu
        initial
3735 20 jefflieu
                #1 ->nli0l0i53_event;
3736
        always @(nli0l0i53_event)
3737
                nli0l0i53 <= {1{1'b1}};
3738 9 jefflieu
        initial
3739 20 jefflieu
                nli0l0i54 = 0;
3740
        always @ ( posedge wire_nl0ii_clkout)
3741
                  nli0l0i54 <= nli0l0i53;
3742 9 jefflieu
        initial
3743 20 jefflieu
                nli0lii51 = 0;
3744
        always @ ( posedge wire_nl0ii_clkout)
3745
                  nli0lii51 <= nli0lii52;
3746
        event nli0lii51_event;
3747 9 jefflieu
        initial
3748 20 jefflieu
                #1 ->nli0lii51_event;
3749
        always @(nli0lii51_event)
3750
                nli0lii51 <= {1{1'b1}};
3751 9 jefflieu
        initial
3752 20 jefflieu
                nli0lii52 = 0;
3753
        always @ ( posedge wire_nl0ii_clkout)
3754
                  nli0lii52 <= nli0lii51;
3755 9 jefflieu
        initial
3756 20 jefflieu
                nli0liO49 = 0;
3757
        always @ ( posedge wire_nl0ii_clkout)
3758
                  nli0liO49 <= nli0liO50;
3759
        event nli0liO49_event;
3760 9 jefflieu
        initial
3761 20 jefflieu
                #1 ->nli0liO49_event;
3762
        always @(nli0liO49_event)
3763
                nli0liO49 <= {1{1'b1}};
3764 9 jefflieu
        initial
3765 20 jefflieu
                nli0liO50 = 0;
3766
        always @ ( posedge wire_nl0ii_clkout)
3767
                  nli0liO50 <= nli0liO49;
3768 9 jefflieu
        initial
3769 20 jefflieu
                nli0lll47 = 0;
3770
        always @ ( posedge wire_nl0ii_clkout)
3771
                  nli0lll47 <= nli0lll48;
3772
        event nli0lll47_event;
3773 9 jefflieu
        initial
3774 20 jefflieu
                #1 ->nli0lll47_event;
3775
        always @(nli0lll47_event)
3776
                nli0lll47 <= {1{1'b1}};
3777 9 jefflieu
        initial
3778 20 jefflieu
                nli0lll48 = 0;
3779
        always @ ( posedge wire_nl0ii_clkout)
3780
                  nli0lll48 <= nli0lll47;
3781 9 jefflieu
        initial
3782 20 jefflieu
                nli0lOi45 = 0;
3783
        always @ ( posedge wire_nl0ii_clkout)
3784
                  nli0lOi45 <= nli0lOi46;
3785
        event nli0lOi45_event;
3786 9 jefflieu
        initial
3787 20 jefflieu
                #1 ->nli0lOi45_event;
3788
        always @(nli0lOi45_event)
3789
                nli0lOi45 <= {1{1'b1}};
3790 9 jefflieu
        initial
3791 20 jefflieu
                nli0lOi46 = 0;
3792
        always @ ( posedge wire_nl0ii_clkout)
3793
                  nli0lOi46 <= nli0lOi45;
3794 9 jefflieu
        initial
3795 20 jefflieu
                nli0O0i41 = 0;
3796
        always @ ( posedge wire_nl0ii_clkout)
3797
                  nli0O0i41 <= nli0O0i42;
3798
        event nli0O0i41_event;
3799 9 jefflieu
        initial
3800 20 jefflieu
                #1 ->nli0O0i41_event;
3801
        always @(nli0O0i41_event)
3802
                nli0O0i41 <= {1{1'b1}};
3803 9 jefflieu
        initial
3804 20 jefflieu
                nli0O0i42 = 0;
3805
        always @ ( posedge wire_nl0ii_clkout)
3806
                  nli0O0i42 <= nli0O0i41;
3807 9 jefflieu
        initial
3808 20 jefflieu
                nli0O1l43 = 0;
3809
        always @ ( posedge wire_nl0ii_clkout)
3810
                  nli0O1l43 <= nli0O1l44;
3811
        event nli0O1l43_event;
3812 9 jefflieu
        initial
3813 20 jefflieu
                #1 ->nli0O1l43_event;
3814
        always @(nli0O1l43_event)
3815
                nli0O1l43 <= {1{1'b1}};
3816 9 jefflieu
        initial
3817 20 jefflieu
                nli0O1l44 = 0;
3818
        always @ ( posedge wire_nl0ii_clkout)
3819
                  nli0O1l44 <= nli0O1l43;
3820 9 jefflieu
        initial
3821 20 jefflieu
                nli0Oii39 = 0;
3822
        always @ ( posedge wire_nl0ii_clkout)
3823
                  nli0Oii39 <= nli0Oii40;
3824
        event nli0Oii39_event;
3825 9 jefflieu
        initial
3826 20 jefflieu
                #1 ->nli0Oii39_event;
3827
        always @(nli0Oii39_event)
3828
                nli0Oii39 <= {1{1'b1}};
3829 9 jefflieu
        initial
3830 20 jefflieu
                nli0Oii40 = 0;
3831
        always @ ( posedge wire_nl0ii_clkout)
3832
                  nli0Oii40 <= nli0Oii39;
3833 9 jefflieu
        initial
3834 20 jefflieu
                nli0OiO37 = 0;
3835
        always @ ( posedge wire_nl0ii_clkout)
3836
                  nli0OiO37 <= nli0OiO38;
3837
        event nli0OiO37_event;
3838 9 jefflieu
        initial
3839 20 jefflieu
                #1 ->nli0OiO37_event;
3840
        always @(nli0OiO37_event)
3841
                nli0OiO37 <= {1{1'b1}};
3842 9 jefflieu
        initial
3843 20 jefflieu
                nli0OiO38 = 0;
3844
        always @ ( posedge wire_nl0ii_clkout)
3845
                  nli0OiO38 <= nli0OiO37;
3846 9 jefflieu
        initial
3847 20 jefflieu
                nli0OlO35 = 0;
3848
        always @ ( posedge wire_nl0ii_clkout)
3849
                  nli0OlO35 <= nli0OlO36;
3850
        event nli0OlO35_event;
3851 9 jefflieu
        initial
3852 20 jefflieu
                #1 ->nli0OlO35_event;
3853
        always @(nli0OlO35_event)
3854
                nli0OlO35 <= {1{1'b1}};
3855 9 jefflieu
        initial
3856 20 jefflieu
                nli0OlO36 = 0;
3857
        always @ ( posedge wire_nl0ii_clkout)
3858
                  nli0OlO36 <= nli0OlO35;
3859 9 jefflieu
        initial
3860 20 jefflieu
                nli0OOl33 = 0;
3861
        always @ ( posedge wire_nl0ii_clkout)
3862
                  nli0OOl33 <= nli0OOl34;
3863
        event nli0OOl33_event;
3864 9 jefflieu
        initial
3865 20 jefflieu
                #1 ->nli0OOl33_event;
3866
        always @(nli0OOl33_event)
3867
                nli0OOl33 <= {1{1'b1}};
3868 9 jefflieu
        initial
3869 20 jefflieu
                nli0OOl34 = 0;
3870
        always @ ( posedge wire_nl0ii_clkout)
3871
                  nli0OOl34 <= nli0OOl33;
3872 9 jefflieu
        initial
3873 20 jefflieu
                nli1llO79 = 0;
3874
        always @ ( posedge wire_nl0ii_clkout)
3875
                  nli1llO79 <= nli1llO80;
3876
        event nli1llO79_event;
3877 9 jefflieu
        initial
3878 20 jefflieu
                #1 ->nli1llO79_event;
3879
        always @(nli1llO79_event)
3880
                nli1llO79 <= {1{1'b1}};
3881 9 jefflieu
        initial
3882 20 jefflieu
                nli1llO80 = 0;
3883
        always @ ( posedge wire_nl0ii_clkout)
3884
                  nli1llO80 <= nli1llO79;
3885 9 jefflieu
        initial
3886 20 jefflieu
                nli1lOi77 = 0;
3887
        always @ ( posedge wire_nl0ii_clkout)
3888
                  nli1lOi77 <= nli1lOi78;
3889
        event nli1lOi77_event;
3890 9 jefflieu
        initial
3891 20 jefflieu
                #1 ->nli1lOi77_event;
3892
        always @(nli1lOi77_event)
3893
                nli1lOi77 <= {1{1'b1}};
3894 9 jefflieu
        initial
3895 20 jefflieu
                nli1lOi78 = 0;
3896
        always @ ( posedge wire_nl0ii_clkout)
3897
                  nli1lOi78 <= nli1lOi77;
3898 9 jefflieu
        initial
3899 20 jefflieu
                nli1O0O75 = 0;
3900
        always @ ( posedge wire_nl0ii_clkout)
3901
                  nli1O0O75 <= nli1O0O76;
3902
        event nli1O0O75_event;
3903 9 jefflieu
        initial
3904 20 jefflieu
                #1 ->nli1O0O75_event;
3905
        always @(nli1O0O75_event)
3906
                nli1O0O75 <= {1{1'b1}};
3907 9 jefflieu
        initial
3908 20 jefflieu
                nli1O0O76 = 0;
3909
        always @ ( posedge wire_nl0ii_clkout)
3910
                  nli1O0O76 <= nli1O0O75;
3911 9 jefflieu
        initial
3912 20 jefflieu
                nli1Oii73 = 0;
3913
        always @ ( posedge wire_nl0ii_clkout)
3914
                  nli1Oii73 <= nli1Oii74;
3915
        event nli1Oii73_event;
3916 9 jefflieu
        initial
3917 20 jefflieu
                #1 ->nli1Oii73_event;
3918
        always @(nli1Oii73_event)
3919
                nli1Oii73 <= {1{1'b1}};
3920 9 jefflieu
        initial
3921 20 jefflieu
                nli1Oii74 = 0;
3922
        always @ ( posedge wire_nl0ii_clkout)
3923
                  nli1Oii74 <= nli1Oii73;
3924 9 jefflieu
        initial
3925 20 jefflieu
                nli1Oil71 = 0;
3926
        always @ ( posedge wire_nl0ii_clkout)
3927
                  nli1Oil71 <= nli1Oil72;
3928
        event nli1Oil71_event;
3929 9 jefflieu
        initial
3930 20 jefflieu
                #1 ->nli1Oil71_event;
3931
        always @(nli1Oil71_event)
3932
                nli1Oil71 <= {1{1'b1}};
3933 9 jefflieu
        initial
3934 20 jefflieu
                nli1Oil72 = 0;
3935
        always @ ( posedge wire_nl0ii_clkout)
3936
                  nli1Oil72 <= nli1Oil71;
3937 9 jefflieu
        initial
3938 20 jefflieu
                nli1OlO69 = 0;
3939
        always @ ( posedge wire_nl0ii_clkout)
3940
                  nli1OlO69 <= nli1OlO70;
3941
        event nli1OlO69_event;
3942 9 jefflieu
        initial
3943 20 jefflieu
                #1 ->nli1OlO69_event;
3944
        always @(nli1OlO69_event)
3945
                nli1OlO69 <= {1{1'b1}};
3946 9 jefflieu
        initial
3947 20 jefflieu
                nli1OlO70 = 0;
3948
        always @ ( posedge wire_nl0ii_clkout)
3949
                  nli1OlO70 <= nli1OlO69;
3950 9 jefflieu
        initial
3951 20 jefflieu
                nli1OOi67 = 0;
3952
        always @ ( posedge wire_nl0ii_clkout)
3953
                  nli1OOi67 <= nli1OOi68;
3954
        event nli1OOi67_event;
3955 9 jefflieu
        initial
3956 20 jefflieu
                #1 ->nli1OOi67_event;
3957
        always @(nli1OOi67_event)
3958
                nli1OOi67 <= {1{1'b1}};
3959 9 jefflieu
        initial
3960 20 jefflieu
                nli1OOi68 = 0;
3961
        always @ ( posedge wire_nl0ii_clkout)
3962
                  nli1OOi68 <= nli1OOi67;
3963 9 jefflieu
        initial
3964 20 jefflieu
                nli1OOl65 = 0;
3965
        always @ ( posedge wire_nl0ii_clkout)
3966
                  nli1OOl65 <= nli1OOl66;
3967
        event nli1OOl65_event;
3968 9 jefflieu
        initial
3969 20 jefflieu
                #1 ->nli1OOl65_event;
3970
        always @(nli1OOl65_event)
3971
                nli1OOl65 <= {1{1'b1}};
3972 9 jefflieu
        initial
3973 20 jefflieu
                nli1OOl66 = 0;
3974
        always @ ( posedge wire_nl0ii_clkout)
3975
                  nli1OOl66 <= nli1OOl65;
3976 9 jefflieu
        initial
3977 20 jefflieu
                nlii00l13 = 0;
3978
        always @ ( posedge wire_nl0ii_clkout)
3979
                  nlii00l13 <= nlii00l14;
3980
        event nlii00l13_event;
3981 9 jefflieu
        initial
3982 20 jefflieu
                #1 ->nlii00l13_event;
3983
        always @(nlii00l13_event)
3984
                nlii00l13 <= {1{1'b1}};
3985 9 jefflieu
        initial
3986 20 jefflieu
                nlii00l14 = 0;
3987
        always @ ( posedge wire_nl0ii_clkout)
3988
                  nlii00l14 <= nlii00l13;
3989 9 jefflieu
        initial
3990 20 jefflieu
                nlii01i19 = 0;
3991
        always @ ( posedge wire_nl0ii_clkout)
3992
                  nlii01i19 <= nlii01i20;
3993
        event nlii01i19_event;
3994 9 jefflieu
        initial
3995 20 jefflieu
                #1 ->nlii01i19_event;
3996
        always @(nlii01i19_event)
3997
                nlii01i19 <= {1{1'b1}};
3998 9 jefflieu
        initial
3999 20 jefflieu
                nlii01i20 = 0;
4000
        always @ ( posedge wire_nl0ii_clkout)
4001
                  nlii01i20 <= nlii01i19;
4002 9 jefflieu
        initial
4003 20 jefflieu
                nlii01l17 = 0;
4004
        always @ ( posedge wire_nl0ii_clkout)
4005
                  nlii01l17 <= nlii01l18;
4006
        event nlii01l17_event;
4007 9 jefflieu
        initial
4008 20 jefflieu
                #1 ->nlii01l17_event;
4009
        always @(nlii01l17_event)
4010
                nlii01l17 <= {1{1'b1}};
4011 9 jefflieu
        initial
4012 20 jefflieu
                nlii01l18 = 0;
4013
        always @ ( posedge wire_nl0ii_clkout)
4014
                  nlii01l18 <= nlii01l17;
4015 9 jefflieu
        initial
4016 20 jefflieu
                nlii01O15 = 0;
4017
        always @ ( posedge wire_nl0ii_clkout)
4018
                  nlii01O15 <= nlii01O16;
4019
        event nlii01O15_event;
4020 9 jefflieu
        initial
4021 20 jefflieu
                #1 ->nlii01O15_event;
4022
        always @(nlii01O15_event)
4023
                nlii01O15 <= {1{1'b1}};
4024 9 jefflieu
        initial
4025 20 jefflieu
                nlii01O16 = 0;
4026
        always @ ( posedge wire_nl0ii_clkout)
4027
                  nlii01O16 <= nlii01O15;
4028 9 jefflieu
        initial
4029 20 jefflieu
                nlii0ii11 = 0;
4030
        always @ ( posedge wire_nl0ii_clkout)
4031
                  nlii0ii11 <= nlii0ii12;
4032
        event nlii0ii11_event;
4033 9 jefflieu
        initial
4034 20 jefflieu
                #1 ->nlii0ii11_event;
4035
        always @(nlii0ii11_event)
4036
                nlii0ii11 <= {1{1'b1}};
4037 9 jefflieu
        initial
4038 20 jefflieu
                nlii0ii12 = 0;
4039
        always @ ( posedge wire_nl0ii_clkout)
4040
                  nlii0ii12 <= nlii0ii11;
4041 9 jefflieu
        initial
4042 20 jefflieu
                nlii0il10 = 0;
4043
        always @ ( posedge wire_nl0ii_clkout)
4044
                  nlii0il10 <= nlii0il9;
4045 9 jefflieu
        initial
4046 20 jefflieu
                nlii0il9 = 0;
4047
        always @ ( posedge wire_nl0ii_clkout)
4048
                  nlii0il9 <= nlii0il10;
4049
        event nlii0il9_event;
4050 9 jefflieu
        initial
4051 20 jefflieu
                #1 ->nlii0il9_event;
4052
        always @(nlii0il9_event)
4053
                nlii0il9 <= {1{1'b1}};
4054 9 jefflieu
        initial
4055 20 jefflieu
                nlii0Oi7 = 0;
4056
        always @ ( posedge wire_nl0ii_clkout)
4057
                  nlii0Oi7 <= nlii0Oi8;
4058
        event nlii0Oi7_event;
4059 9 jefflieu
        initial
4060 20 jefflieu
                #1 ->nlii0Oi7_event;
4061
        always @(nlii0Oi7_event)
4062
                nlii0Oi7 <= {1{1'b1}};
4063 9 jefflieu
        initial
4064 20 jefflieu
                nlii0Oi8 = 0;
4065
        always @ ( posedge wire_nl0ii_clkout)
4066
                  nlii0Oi8 <= nlii0Oi7;
4067 9 jefflieu
        initial
4068 20 jefflieu
                nlii0Ol5 = 0;
4069
        always @ ( posedge wire_nl0ii_clkout)
4070
                  nlii0Ol5 <= nlii0Ol6;
4071
        event nlii0Ol5_event;
4072 9 jefflieu
        initial
4073 20 jefflieu
                #1 ->nlii0Ol5_event;
4074
        always @(nlii0Ol5_event)
4075
                nlii0Ol5 <= {1{1'b1}};
4076 9 jefflieu
        initial
4077 20 jefflieu
                nlii0Ol6 = 0;
4078
        always @ ( posedge wire_nl0ii_clkout)
4079
                  nlii0Ol6 <= nlii0Ol5;
4080 9 jefflieu
        initial
4081 20 jefflieu
                nlii0OO3 = 0;
4082
        always @ ( posedge wire_nl0ii_clkout)
4083
                  nlii0OO3 <= nlii0OO4;
4084
        event nlii0OO3_event;
4085 9 jefflieu
        initial
4086 20 jefflieu
                #1 ->nlii0OO3_event;
4087
        always @(nlii0OO3_event)
4088
                nlii0OO3 <= {1{1'b1}};
4089 9 jefflieu
        initial
4090 20 jefflieu
                nlii0OO4 = 0;
4091
        always @ ( posedge wire_nl0ii_clkout)
4092
                  nlii0OO4 <= nlii0OO3;
4093 9 jefflieu
        initial
4094 20 jefflieu
                nlii10i29 = 0;
4095
        always @ ( posedge wire_nl0ii_clkout)
4096
                  nlii10i29 <= nlii10i30;
4097
        event nlii10i29_event;
4098 9 jefflieu
        initial
4099 20 jefflieu
                #1 ->nlii10i29_event;
4100
        always @(nlii10i29_event)
4101
                nlii10i29 <= {1{1'b1}};
4102 9 jefflieu
        initial
4103 20 jefflieu
                nlii10i30 = 0;
4104
        always @ ( posedge wire_nl0ii_clkout)
4105
                  nlii10i30 <= nlii10i29;
4106 9 jefflieu
        initial
4107 20 jefflieu
                nlii10O27 = 0;
4108
        always @ ( posedge wire_nl0ii_clkout)
4109
                  nlii10O27 <= nlii10O28;
4110
        event nlii10O27_event;
4111 9 jefflieu
        initial
4112 20 jefflieu
                #1 ->nlii10O27_event;
4113
        always @(nlii10O27_event)
4114
                nlii10O27 <= {1{1'b1}};
4115 9 jefflieu
        initial
4116 20 jefflieu
                nlii10O28 = 0;
4117
        always @ ( posedge wire_nl0ii_clkout)
4118
                  nlii10O28 <= nlii10O27;
4119 9 jefflieu
        initial
4120 20 jefflieu
                nlii11l31 = 0;
4121
        always @ ( posedge wire_nl0ii_clkout)
4122
                  nlii11l31 <= nlii11l32;
4123
        event nlii11l31_event;
4124 9 jefflieu
        initial
4125 20 jefflieu
                #1 ->nlii11l31_event;
4126
        always @(nlii11l31_event)
4127
                nlii11l31 <= {1{1'b1}};
4128 9 jefflieu
        initial
4129 20 jefflieu
                nlii11l32 = 0;
4130
        always @ ( posedge wire_nl0ii_clkout)
4131
                  nlii11l32 <= nlii11l31;
4132 9 jefflieu
        initial
4133 20 jefflieu
                nlii1iO25 = 0;
4134
        always @ ( posedge wire_nl0ii_clkout)
4135
                  nlii1iO25 <= nlii1iO26;
4136
        event nlii1iO25_event;
4137 9 jefflieu
        initial
4138 20 jefflieu
                #1 ->nlii1iO25_event;
4139
        always @(nlii1iO25_event)
4140
                nlii1iO25 <= {1{1'b1}};
4141 9 jefflieu
        initial
4142 20 jefflieu
                nlii1iO26 = 0;
4143
        always @ ( posedge wire_nl0ii_clkout)
4144
                  nlii1iO26 <= nlii1iO25;
4145 9 jefflieu
        initial
4146 20 jefflieu
                nlii1ll23 = 0;
4147
        always @ ( posedge wire_nl0ii_clkout)
4148
                  nlii1ll23 <= nlii1ll24;
4149
        event nlii1ll23_event;
4150 9 jefflieu
        initial
4151 20 jefflieu
                #1 ->nlii1ll23_event;
4152
        always @(nlii1ll23_event)
4153
                nlii1ll23 <= {1{1'b1}};
4154 9 jefflieu
        initial
4155 20 jefflieu
                nlii1ll24 = 0;
4156
        always @ ( posedge wire_nl0ii_clkout)
4157
                  nlii1ll24 <= nlii1ll23;
4158 9 jefflieu
        initial
4159 20 jefflieu
                nlii1Ol21 = 0;
4160
        always @ ( posedge wire_nl0ii_clkout)
4161
                  nlii1Ol21 <= nlii1Ol22;
4162
        event nlii1Ol21_event;
4163 9 jefflieu
        initial
4164 20 jefflieu
                #1 ->nlii1Ol21_event;
4165
        always @(nlii1Ol21_event)
4166
                nlii1Ol21 <= {1{1'b1}};
4167 9 jefflieu
        initial
4168 20 jefflieu
                nlii1Ol22 = 0;
4169
        always @ ( posedge wire_nl0ii_clkout)
4170
                  nlii1Ol22 <= nlii1Ol21;
4171 9 jefflieu
        initial
4172 20 jefflieu
                nliii1l1 = 0;
4173
        always @ ( posedge wire_nl0ii_clkout)
4174
                  nliii1l1 <= nliii1l2;
4175
        event nliii1l1_event;
4176 9 jefflieu
        initial
4177 20 jefflieu
                #1 ->nliii1l1_event;
4178
        always @(nliii1l1_event)
4179
                nliii1l1 <= {1{1'b1}};
4180 9 jefflieu
        initial
4181 20 jefflieu
                nliii1l2 = 0;
4182
        always @ ( posedge wire_nl0ii_clkout)
4183
                  nliii1l2 <= nliii1l1;
4184 9 jefflieu
        initial
4185
        begin
4186 20 jefflieu
                n00iO = 0;
4187
                n011l = 0;
4188
                n011O = 0;
4189
                n01ll = 0;
4190
                n01Ol = 0;
4191
                n01OO = 0;
4192
                n10ii = 0;
4193
                n10il = 0;
4194
                n11OO = 0;
4195
                n1i1l = 0;
4196
                n1l1i = 0;
4197
                n1O0i = 0;
4198 9 jefflieu
        end
4199 20 jefflieu
        always @ ( posedge clk or  posedge n110l)
4200 9 jefflieu
        begin
4201 20 jefflieu
                if (n110l == 1'b1)
4202 9 jefflieu
                begin
4203 20 jefflieu
                        n00iO <= 1;
4204
                        n011l <= 1;
4205
                        n011O <= 1;
4206
                        n01ll <= 1;
4207
                        n01Ol <= 1;
4208
                        n01OO <= 1;
4209
                        n10ii <= 1;
4210
                        n10il <= 1;
4211
                        n11OO <= 1;
4212
                        n1i1l <= 1;
4213
                        n1l1i <= 1;
4214
                        n1O0i <= 1;
4215 9 jefflieu
                end
4216
                else
4217
                begin
4218 20 jefflieu
                        n00iO <= wire_n001i_dataout;
4219
                        n011l <= wire_n010l_dataout;
4220
                        n011O <= wire_n010O_dataout;
4221
                        n01ll <= wire_n010i_dataout;
4222
                        n01Ol <= wire_n001l_dataout;
4223
                        n01OO <= wire_n001O_dataout;
4224
                        n10ii <= wire_n101l_dataout;
4225
                        n10il <= nli000l;
4226
                        n11OO <= wire_n101O_dataout;
4227
                        n1i1l <= nli00ii;
4228
                        n1l1i <= nli00li;
4229
                        n1O0i <= nli00Oi;
4230 9 jefflieu
                end
4231
        end
4232
        initial
4233 20 jefflieu
        begin
4234
                n00ll = 0;
4235
                n01lO = 0;
4236
                n101i = 0;
4237
                n10lO = 0;
4238
                n1i0O = 0;
4239
                n1iiO = 0;
4240
                n1ill = 0;
4241
                n1iOO = 0;
4242
                n1l0O = 0;
4243
                n1lii = 0;
4244
                n1llO = 0;
4245
                n1O1i = 0;
4246
                n1O1l = 0;
4247
                n1O1O = 0;
4248
                n1Oll = 0;
4249
                n1OlO = 0;
4250
        end
4251
        always @ ( posedge clk or  posedge n110l)
4252
        begin
4253
                if (n110l == 1'b1)
4254
                begin
4255
                        n00ll <= 0;
4256
                        n01lO <= 0;
4257
                        n101i <= 0;
4258
                        n10lO <= 0;
4259
                        n1i0O <= 0;
4260
                        n1iiO <= 0;
4261
                        n1ill <= 0;
4262
                        n1iOO <= 0;
4263
                        n1l0O <= 0;
4264
                        n1lii <= 0;
4265
                        n1llO <= 0;
4266
                        n1O1i <= 0;
4267
                        n1O1l <= 0;
4268
                        n1O1O <= 0;
4269
                        n1Oll <= 0;
4270
                        n1OlO <= 0;
4271
                end
4272
                else
4273
                begin
4274
                        n00ll <= nli0i1O;
4275
                        n01lO <= nlii00i;
4276
                        n101i <= ((~ nli000O) & (((~ nli000l) & n10il) | n101i));
4277
                        n10lO <= nlii00i;
4278
                        n1i0O <= nlii00i;
4279
                        n1iiO <= ((~ nli00il) & (n1iiO | ((~ nli00ii) & n1i1l)));
4280
                        n1ill <= wire_n1l1l_dataout;
4281
                        n1iOO <= wire_n1l1O_dataout;
4282
                        n1l0O <= nlii00i;
4283
                        n1lii <= ((~ nli00ll) & (n1lii | ((~ nli00li) & n1l1i)));
4284
                        n1llO <= wire_n1O0l_dataout;
4285
                        n1O1i <= wire_n1O0O_dataout;
4286
                        n1O1l <= wire_n1Oii_dataout;
4287
                        n1O1O <= wire_n1Oil_dataout;
4288
                        n1Oll <= nli0i0l;
4289
                        n1OlO <= ((~ nli00Ol) & (n1OlO | ((~ nli00Oi) & n1O0i)));
4290
                end
4291
        end
4292 9 jefflieu
        initial
4293
        begin
4294
                n00Oii = 0;
4295
                n00Oll = 0;
4296
                n00OOl = 0;
4297
        end
4298 20 jefflieu
        always @ ( posedge wire_nl0ii_clkout or  posedge n0O0Oi)
4299 9 jefflieu
        begin
4300
                if (n0O0Oi == 1'b1)
4301
                begin
4302
                        n00Oii <= 1;
4303
                        n00Oll <= 1;
4304
                        n00OOl <= 1;
4305
                end
4306
                else
4307
                begin
4308
                        n00Oii <= wire_n00l1l_o;
4309
                        n00Oll <= n00OOl;
4310
                        n00OOl <= nl010i;
4311
                end
4312
        end
4313
        event n00Oii_event;
4314
        event n00Oll_event;
4315
        event n00OOl_event;
4316
        initial
4317
                #1 ->n00Oii_event;
4318
        initial
4319
                #1 ->n00Oll_event;
4320
        initial
4321
                #1 ->n00OOl_event;
4322
        always @(n00Oii_event)
4323
                n00Oii <= 1;
4324
        always @(n00Oll_event)
4325
                n00Oll <= 1;
4326
        always @(n00OOl_event)
4327
                n00OOl <= 1;
4328
        initial
4329
        begin
4330 20 jefflieu
                n01iil = 0;
4331
                n01ili = 0;
4332 9 jefflieu
        end
4333 20 jefflieu
        always @ ( posedge wire_nl0ii_clkout or  posedge nlilOl)
4334 9 jefflieu
        begin
4335
                if (nlilOl == 1'b1)
4336
                begin
4337 20 jefflieu
                        n01iil <= 1;
4338
                        n01ili <= 1;
4339 9 jefflieu
                end
4340
                else
4341
                begin
4342 20 jefflieu
                        n01iil <= n01ili;
4343
                        n01ili <= nlii0iO;
4344 9 jefflieu
                end
4345
        end
4346 20 jefflieu
        event n01iil_event;
4347
        event n01ili_event;
4348 9 jefflieu
        initial
4349 20 jefflieu
                #1 ->n01iil_event;
4350 9 jefflieu
        initial
4351 20 jefflieu
                #1 ->n01ili_event;
4352
        always @(n01iil_event)
4353
                n01iil <= 1;
4354
        always @(n01ili_event)
4355
                n01ili <= 1;
4356 9 jefflieu
        initial
4357
        begin
4358
                n0i01l = 0;
4359
                n0i10i = 0;
4360
                n0i10l = 0;
4361
                n0i11i = 0;
4362
                n0i11l = 0;
4363
                n0i11O = 0;
4364
                n0i1iO = 0;
4365
                n0i1li = 0;
4366
                n0i1ll = 0;
4367
                n0i1Ol = 0;
4368
                n0i1OO = 0;
4369
        end
4370 20 jefflieu
        always @ ( posedge wire_nl0ii_clkout or  posedge n0O0Oi)
4371 9 jefflieu
        begin
4372
                if (n0O0Oi == 1'b1)
4373
                begin
4374
                        n0i01l <= 0;
4375
                        n0i10i <= 0;
4376
                        n0i10l <= 0;
4377
                        n0i11i <= 0;
4378
                        n0i11l <= 0;
4379
                        n0i11O <= 0;
4380
                        n0i1iO <= 0;
4381
                        n0i1li <= 0;
4382
                        n0i1ll <= 0;
4383
                        n0i1Ol <= 0;
4384
                        n0i1OO <= 0;
4385
                end
4386 20 jefflieu
                else if  (n01Oii == 1'b1)
4387 9 jefflieu
                begin
4388
                        n0i01l <= wire_n0i00O_dataout;
4389
                        n0i10i <= n0i1Oi;
4390
                        n0i10l <= (n0i1Ol ^ n0i1Oi);
4391
                        n0i11i <= (n0i1OO ^ n0i1Ol);
4392
                        n0i11l <= (n0i01l ^ n0i1OO);
4393
                        n0i11O <= n0i01l;
4394
                        n0i1iO <= n0i1Ol;
4395
                        n0i1li <= n0i1OO;
4396
                        n0i1ll <= n0i01l;
4397
                        n0i1Ol <= wire_n0i00i_dataout;
4398
                        n0i1OO <= wire_n0i00l_dataout;
4399
                end
4400
        end
4401
        initial
4402
        begin
4403 20 jefflieu
                n0i0l = 0;
4404
                n0iii = 0;
4405
        end
4406
        always @ ( posedge wire_nl0ii_clkout or  posedge nli0iil)
4407
        begin
4408
                if (nli0iil == 1'b1)
4409
                begin
4410
                        n0i0l <= 1;
4411
                        n0iii <= 1;
4412
                end
4413
                else
4414
                begin
4415
                        n0i0l <= n0iii;
4416
                        n0iii <= nlii0iO;
4417
                end
4418
        end
4419
        event n0i0l_event;
4420
        event n0iii_event;
4421
        initial
4422
                #1 ->n0i0l_event;
4423
        initial
4424
                #1 ->n0iii_event;
4425
        always @(n0i0l_event)
4426
                n0i0l <= 1;
4427
        always @(n0iii_event)
4428
                n0iii <= 1;
4429
        initial
4430
        begin
4431 9 jefflieu
                n0i1Oi = 0;
4432
        end
4433 20 jefflieu
        always @ ( posedge wire_nl0ii_clkout or  posedge n0O0Oi)
4434 9 jefflieu
        begin
4435
                if (n0O0Oi == 1'b1)
4436
                begin
4437
                        n0i1Oi <= 1;
4438
                end
4439 20 jefflieu
                else if  (n01Oii == 1'b1)
4440 9 jefflieu
                begin
4441
                        n0i1Oi <= wire_n0i01O_dataout;
4442
                end
4443
        end
4444
        event n0i1Oi_event;
4445
        initial
4446
                #1 ->n0i1Oi_event;
4447
        always @(n0i1Oi_event)
4448
                n0i1Oi <= 1;
4449
        initial
4450
        begin
4451
                n0ii0O = 0;
4452
        end
4453 20 jefflieu
        always @ ( posedge wire_nl00l_clkout or  posedge n0O0lO)
4454 9 jefflieu
        begin
4455
                if (n0O0lO == 1'b1)
4456
                begin
4457
                        n0ii0O <= 1;
4458
                end
4459 20 jefflieu
                else if  (nli10Oi == 1'b1)
4460 9 jefflieu
                begin
4461
                        n0ii0O <= wire_n0iilO_dataout;
4462
                end
4463
        end
4464
        event n0ii0O_event;
4465
        initial
4466
                #1 ->n0ii0O_event;
4467
        always @(n0ii0O_event)
4468
                n0ii0O <= 1;
4469
        initial
4470
        begin
4471
                n0i0iO = 0;
4472
                n0i0li = 0;
4473
                n0i0ll = 0;
4474
                n0i0lO = 0;
4475
                n0i0Oi = 0;
4476
                n0ii0i = 0;
4477
                n0ii1l = 0;
4478
                n0ii1O = 0;
4479
                n0iiil = 0;
4480
                n0iiiO = 0;
4481
                n0iill = 0;
4482
        end
4483 20 jefflieu
        always @ ( posedge wire_nl00l_clkout or  posedge n0O0lO)
4484 9 jefflieu
        begin
4485
                if (n0O0lO == 1'b1)
4486
                begin
4487
                        n0i0iO <= 0;
4488
                        n0i0li <= 0;
4489
                        n0i0ll <= 0;
4490
                        n0i0lO <= 0;
4491
                        n0i0Oi <= 0;
4492
                        n0ii0i <= 0;
4493
                        n0ii1l <= 0;
4494
                        n0ii1O <= 0;
4495
                        n0iiil <= 0;
4496
                        n0iiiO <= 0;
4497
                        n0iill <= 0;
4498
                end
4499 20 jefflieu
                else if  (nli10Oi == 1'b1)
4500 9 jefflieu
                begin
4501
                        n0i0iO <= (n0iiiO ^ n0iiil);
4502
                        n0i0li <= (n0iill ^ n0iiiO);
4503
                        n0i0ll <= n0iill;
4504
                        n0i0lO <= n0ii0O;
4505
                        n0i0Oi <= (n0iiil ^ n0ii0O);
4506
                        n0ii0i <= n0iill;
4507
                        n0ii1l <= n0iiil;
4508
                        n0ii1O <= n0iiiO;
4509
                        n0iiil <= wire_n0iiOi_dataout;
4510
                        n0iiiO <= wire_n0iiOl_dataout;
4511
                        n0iill <= wire_n0iiOO_dataout;
4512
                end
4513
        end
4514
        initial
4515
        begin
4516
                n0iOii = 0;
4517
        end
4518 20 jefflieu
        always @ ( posedge wire_nl00l_clkout or  posedge n0O0lO)
4519 9 jefflieu
        begin
4520
                if (n0O0lO == 1'b1)
4521
                begin
4522
                        n0iOii <= 0;
4523
                end
4524
                else if  (n0iO0i == 1'b0)
4525
                begin
4526 20 jefflieu
                        n0iOii <= nlii0lO;
4527 9 jefflieu
                end
4528
        end
4529
        initial
4530
        begin
4531
                n0iO0i = 0;
4532
        end
4533 20 jefflieu
        always @ ( posedge wire_nl00l_clkout or  posedge n0O0lO)
4534 9 jefflieu
        begin
4535
                if (n0O0lO == 1'b1)
4536
                begin
4537
                        n0iO0i <= 1;
4538
                end
4539 20 jefflieu
                else if  (nlil1il == 1'b1)
4540 9 jefflieu
                begin
4541
                        n0iO0i <= wire_n0iOiO_o;
4542
                end
4543
        end
4544
        event n0iO0i_event;
4545
        initial
4546
                #1 ->n0iO0i_event;
4547
        always @(n0iO0i_event)
4548
                n0iO0i <= 1;
4549
        initial
4550
        begin
4551
                n0010i = 0;
4552
                n0010l = 0;
4553
                n0010O = 0;
4554 20 jefflieu
                n0011i = 0;
4555 9 jefflieu
                n001ii = 0;
4556
                n001il = 0;
4557
                n001iO = 0;
4558
                n001li = 0;
4559
                n00lOO = 0;
4560
                n00O0l = 0;
4561
                n00O0O = 0;
4562
                n00O1i = 0;
4563
                n00Oil = 0;
4564
                n00OiO = 0;
4565
                n00Oli = 0;
4566
                n00OlO = 0;
4567 20 jefflieu
                n01l0l = 0;
4568
                n01l0O = 0;
4569
                n01lOi = 0;
4570
                n01lOl = 0;
4571 9 jefflieu
                n01lOO = 0;
4572
                n01O0i = 0;
4573
                n01O0l = 0;
4574
                n01O0O = 0;
4575
                n01O1i = 0;
4576
                n01O1l = 0;
4577
                n01O1O = 0;
4578
                n01Oii = 0;
4579
                n01OiO = 0;
4580
                n01OOO = 0;
4581
                n0ilOi = 0;
4582
                n0ilOO = 0;
4583
                n0iO1i = 0;
4584
                n0iO1l = 0;
4585
                n0l01i = 0;
4586
                n0l01O = 0;
4587
                n0l11l = 0;
4588
                n0l1iO = 0;
4589
                n0l1ll = 0;
4590
                n0l1lO = 0;
4591
                n0l1Oi = 0;
4592
                n0l1Ol = 0;
4593
                n0l1OO = 0;
4594
        end
4595 20 jefflieu
        always @ ( posedge wire_nl0ii_clkout or  posedge n0O0Oi)
4596 9 jefflieu
        begin
4597
                if (n0O0Oi == 1'b1)
4598
                begin
4599
                        n0010i <= 0;
4600
                        n0010l <= 0;
4601
                        n0010O <= 0;
4602 20 jefflieu
                        n0011i <= 0;
4603 9 jefflieu
                        n001ii <= 0;
4604
                        n001il <= 0;
4605
                        n001iO <= 0;
4606
                        n001li <= 0;
4607
                        n00lOO <= 0;
4608
                        n00O0l <= 0;
4609
                        n00O0O <= 0;
4610
                        n00O1i <= 0;
4611
                        n00Oil <= 0;
4612
                        n00OiO <= 0;
4613
                        n00Oli <= 0;
4614
                        n00OlO <= 0;
4615 20 jefflieu
                        n01l0l <= 0;
4616
                        n01l0O <= 0;
4617
                        n01lOi <= 0;
4618
                        n01lOl <= 0;
4619 9 jefflieu
                        n01lOO <= 0;
4620
                        n01O0i <= 0;
4621
                        n01O0l <= 0;
4622
                        n01O0O <= 0;
4623
                        n01O1i <= 0;
4624
                        n01O1l <= 0;
4625
                        n01O1O <= 0;
4626
                        n01Oii <= 0;
4627
                        n01OiO <= 0;
4628
                        n01OOO <= 0;
4629
                        n0ilOi <= 0;
4630
                        n0ilOO <= 0;
4631
                        n0iO1i <= 0;
4632
                        n0iO1l <= 0;
4633
                        n0l01i <= 0;
4634
                        n0l01O <= 0;
4635
                        n0l11l <= 0;
4636
                        n0l1iO <= 0;
4637
                        n0l1ll <= 0;
4638
                        n0l1lO <= 0;
4639
                        n0l1Oi <= 0;
4640
                        n0l1Ol <= 0;
4641
                        n0l1OO <= 0;
4642
                end
4643
                else
4644
                begin
4645
                        n0010i <= wire_n001lO_dataout;
4646
                        n0010l <= wire_n001Oi_dataout;
4647
                        n0010O <= wire_n001Ol_dataout;
4648 20 jefflieu
                        n0011i <= wire_n001ll_dataout;
4649 9 jefflieu
                        n001ii <= wire_n001OO_dataout;
4650
                        n001il <= wire_n0001i_dataout;
4651
                        n001iO <= wire_n0001l_dataout;
4652
                        n001li <= wire_n00O1l_dataout;
4653
                        n00lOO <= wire_n00O1O_dataout;
4654
                        n00O0l <= wire_n00iOi_o;
4655
                        n00O0O <= wire_n00iOO_o;
4656
                        n00O1i <= wire_n00ill_o;
4657
                        n00Oil <= n00OiO;
4658
                        n00OiO <= nlilOl;
4659
                        n00Oli <= n00OlO;
4660
                        n00OlO <= nl011O;
4661 20 jefflieu
                        n01l0l <= wire_n01lil_dataout;
4662
                        n01l0O <= nlOiiOi;
4663
                        n01lOi <= nlOil0l;
4664
                        n01lOl <= nlOil0O;
4665
                        n01lOO <= nlOilii;
4666
                        n01O0i <= nlOilll;
4667
                        n01O0l <= nli101i;
4668
                        n01O0O <= (nlOiOOi | n01OOO);
4669
                        n01O1i <= nlOilil;
4670
                        n01O1l <= nlOiliO;
4671
                        n01O1O <= nlOilli;
4672
                        n01Oii <= wire_n01Oli_dataout;
4673
                        n01OiO <= wire_n0011l_dataout;
4674
                        n01OOO <= wire_n01lii_dataout;
4675 9 jefflieu
                        n0ilOi <= wire_n0iO0l_o[1];
4676
                        n0ilOO <= wire_n0iO0l_o[2];
4677
                        n0iO1i <= wire_n0iO0l_o[3];
4678
                        n0iO1l <= wire_n0iO0l_o[4];
4679
                        n0l01i <= n0i0li;
4680
                        n0l01O <= n0i0ll;
4681
                        n0l11l <= wire_n0l1li_o;
4682
                        n0l1iO <= (((n0l01O ^ n0l1Ol) ^ n0l01i) ^ n0l1OO);
4683
                        n0l1ll <= ((n0l01O ^ n0l1OO) ^ n0l01i);
4684
                        n0l1lO <= (n0l01O ^ n0l01i);
4685
                        n0l1Oi <= n0l01O;
4686
                        n0l1Ol <= n0i0Oi;
4687
                        n0l1OO <= n0i0iO;
4688
                end
4689
        end
4690
        initial
4691
        begin
4692
                n0iOil = 0;
4693
                n0iOli = 0;
4694
                n0iOll = 0;
4695
                n0iOlO = 0;
4696
                n0iOOi = 0;
4697
                n0iOOl = 0;
4698
                n0iOOO = 0;
4699
                n0l0ll = 0;
4700
                n0l11i = 0;
4701
                n0li0O = 0;
4702
                n0liil = 0;
4703
        end
4704 20 jefflieu
        always @ ( posedge wire_nl00l_clkout or  posedge n0O0lO)
4705 9 jefflieu
        begin
4706
                if (n0O0lO == 1'b1)
4707
                begin
4708
                        n0iOil <= 0;
4709
                        n0iOli <= 0;
4710
                        n0iOll <= 0;
4711
                        n0iOlO <= 0;
4712
                        n0iOOi <= 0;
4713
                        n0iOOl <= 0;
4714
                        n0iOOO <= 0;
4715
                        n0l0ll <= 0;
4716
                        n0l11i <= 0;
4717
                        n0li0O <= 0;
4718
                        n0liil <= 0;
4719
                end
4720
                else
4721
                begin
4722
                        n0iOil <= (((n0l11i ^ n0iOOi) ^ n0iOOO) ^ n0iOOl);
4723
                        n0iOli <= ((n0l11i ^ n0iOOl) ^ n0iOOO);
4724
                        n0iOll <= (n0l11i ^ n0iOOO);
4725
                        n0iOlO <= n0l11i;
4726
                        n0iOOi <= n0i10l;
4727
                        n0iOOl <= n0i11i;
4728
                        n0iOOO <= n0i11l;
4729
                        n0l0ll <= n0liil;
4730
                        n0l11i <= n0i11O;
4731
                        n0li0O <= wire_n0l0lO_dataout;
4732 20 jefflieu
                        n0liil <= nlOl11i;
4733 9 jefflieu
                end
4734
        end
4735
        initial
4736
        begin
4737
                n0lili = 0;
4738
        end
4739 20 jefflieu
        always @ ( posedge wire_nl00l_clkout or  posedge n0O0lO)
4740 9 jefflieu
        begin
4741
                if (n0O0lO == 1'b1)
4742
                begin
4743
                        n0lili <= 0;
4744
                end
4745
                else if  (n0Oi1i == 1'b1)
4746
                begin
4747
                        n0lili <= wire_n0lill_dataout;
4748
                end
4749
        end
4750
        initial
4751
        begin
4752
                n0liOi = 0;
4753
                n0liOl = 0;
4754
                n0liOO = 0;
4755
                n0ll1i = 0;
4756
                n0ll1l = 0;
4757
                n0ll1O = 0;
4758
                n0llli = 0;
4759
                n0llll = 0;
4760
                n0lllO = 0;
4761
                n0llOi = 0;
4762
                n0llOl = 0;
4763
                n0llOO = 0;
4764
                n0O10i = 0;
4765
                n0O10l = 0;
4766
                n0O10O = 0;
4767
                n0O11O = 0;
4768
                n0O1ii = 0;
4769
                n0O1il = 0;
4770
                n0O1iO = 0;
4771
                n0O1li = 0;
4772
                n0O1ll = 0;
4773
                n0O1Oi = 0;
4774
        end
4775 20 jefflieu
        always @ ( posedge wire_nl00l_clkout or  posedge nlii0ll)
4776 9 jefflieu
        begin
4777 20 jefflieu
                if (nlii0ll == 1'b1)
4778 9 jefflieu
                begin
4779
                        n0liOi <= 0;
4780
                        n0liOl <= 0;
4781
                        n0liOO <= 0;
4782
                        n0ll1i <= 0;
4783
                        n0ll1l <= 0;
4784
                        n0ll1O <= 0;
4785
                        n0llli <= 0;
4786
                        n0llll <= 0;
4787
                        n0lllO <= 0;
4788
                        n0llOi <= 0;
4789
                        n0llOl <= 0;
4790
                        n0llOO <= 0;
4791
                        n0O10i <= 0;
4792
                        n0O10l <= 0;
4793
                        n0O10O <= 0;
4794
                        n0O11O <= 0;
4795
                        n0O1ii <= 0;
4796
                        n0O1il <= 0;
4797
                        n0O1iO <= 0;
4798
                        n0O1li <= 0;
4799
                        n0O1ll <= 0;
4800
                        n0O1Oi <= 0;
4801
                end
4802 20 jefflieu
                else if  (nlil1il == 1'b1)
4803 9 jefflieu
                begin
4804
                        n0liOi <= wire_n0ll0l_dataout;
4805
                        n0liOl <= wire_n0ll0O_dataout;
4806
                        n0liOO <= wire_n0llii_dataout;
4807
                        n0ll1i <= wire_n0llil_dataout;
4808
                        n0ll1l <= wire_n0lliO_dataout;
4809
                        n0ll1O <= wire_n0illO_dataout;
4810
                        n0llli <= wire_n0ll0i_dataout;
4811
                        n0llll <= wire_n0illl_dataout;
4812
                        n0lllO <= wire_n0lO1l_dataout;
4813
                        n0llOi <= wire_n0lO1O_dataout;
4814
                        n0llOl <= wire_n0lO0i_dataout;
4815
                        n0llOO <= wire_n0lO0l_dataout;
4816
                        n0O10i <= wire_n0O1OO_dataout;
4817
                        n0O10l <= wire_n0O01i_dataout;
4818
                        n0O10O <= wire_n0O01l_dataout;
4819
                        n0O11O <= wire_n0O1Ol_dataout;
4820
                        n0O1ii <= wire_n0O01O_dataout;
4821
                        n0O1il <= wire_n0O00i_dataout;
4822
                        n0O1iO <= wire_n0O00l_dataout;
4823
                        n0O1li <= wire_n0O00O_dataout;
4824
                        n0O1ll <= wire_n0O0ii_dataout;
4825
                        n0O1Oi <= wire_n0O0il_dataout;
4826
                end
4827
        end
4828
        initial
4829
        begin
4830
                n0O0ll = 0;
4831
                n0O0Oi = 0;
4832
                n0Oiil = 0;
4833 20 jefflieu
                n101ll = 0;
4834
                nlOi01l = 0;
4835 9 jefflieu
        end
4836 20 jefflieu
        always @ ( posedge wire_nl0ii_clkout or  posedge nlili1O)
4837 9 jefflieu
        begin
4838 20 jefflieu
                if (nlili1O == 1'b1)
4839 9 jefflieu
                begin
4840
                        n0O0ll <= 1;
4841
                        n0O0Oi <= 1;
4842
                        n0Oiil <= 1;
4843 20 jefflieu
                        n101ll <= 1;
4844
                        nlOi01l <= 1;
4845 9 jefflieu
                end
4846
                else
4847
                begin
4848 20 jefflieu
                        n0O0ll <= (~ (wire_n01ilO_dout[1] & (~ wire_n01ilO_dout[0])));
4849
                        n0O0Oi <= ((nlili1O | n0O11l) | n01iil);
4850
                        n0Oiil <= wire_n01ilO_dout[1];
4851
                        n101ll <= wire_n100OO_dataout;
4852
                        nlOi01l <= wire_nlOi0ll_dataout;
4853 9 jefflieu
                end
4854
        end
4855
        event n0O0ll_event;
4856
        event n0O0Oi_event;
4857
        event n0Oiil_event;
4858 20 jefflieu
        event n101ll_event;
4859
        event nlOi01l_event;
4860 9 jefflieu
        initial
4861
                #1 ->n0O0ll_event;
4862
        initial
4863
                #1 ->n0O0Oi_event;
4864
        initial
4865
                #1 ->n0Oiil_event;
4866
        initial
4867 20 jefflieu
                #1 ->n101ll_event;
4868 9 jefflieu
        initial
4869 20 jefflieu
                #1 ->nlOi01l_event;
4870 9 jefflieu
        always @(n0O0ll_event)
4871
                n0O0ll <= 1;
4872
        always @(n0O0Oi_event)
4873
                n0O0Oi <= 1;
4874
        always @(n0Oiil_event)
4875
                n0Oiil <= 1;
4876 20 jefflieu
        always @(n101ll_event)
4877
                n101ll <= 1;
4878
        always @(nlOi01l_event)
4879
                nlOi01l <= 1;
4880 9 jefflieu
        initial
4881
        begin
4882
                n0lO0O = 0;
4883
                n0lO1i = 0;
4884
                n0O0iO = 0;
4885
                n0O11i = 0;
4886
                n0Oi1i = 0;
4887
                n0Oili = 0;
4888 20 jefflieu
                nlil01i = 0;
4889
                nlil01l = 0;
4890 9 jefflieu
                nlil01O = 0;
4891 20 jefflieu
                nlil10i = 0;
4892
                nlil1li = 0;
4893
                nlil1ll = 0;
4894 9 jefflieu
                nlil1lO = 0;
4895 20 jefflieu
                nlil1Oi = 0;
4896
                nlil1Ol = 0;
4897
                nlil1OO = 0;
4898
                nlili1i = 0;
4899 9 jefflieu
        end
4900 20 jefflieu
        always @ ( posedge wire_nl00l_clkout or  posedge nlii0ll)
4901 9 jefflieu
        begin
4902 20 jefflieu
                if (nlii0ll == 1'b1)
4903 9 jefflieu
                begin
4904
                        n0lO0O <= 0;
4905
                        n0lO1i <= 0;
4906
                        n0O0iO <= 0;
4907
                        n0O11i <= 0;
4908
                        n0Oi1i <= 0;
4909
                        n0Oili <= 0;
4910 20 jefflieu
                        nlil01i <= 0;
4911
                        nlil01l <= 0;
4912 9 jefflieu
                        nlil01O <= 0;
4913 20 jefflieu
                        nlil10i <= 0;
4914
                        nlil1li <= 0;
4915
                        nlil1ll <= 0;
4916 9 jefflieu
                        nlil1lO <= 0;
4917 20 jefflieu
                        nlil1Oi <= 0;
4918
                        nlil1Ol <= 0;
4919
                        nlil1OO <= 0;
4920
                        nlili1i <= 0;
4921 9 jefflieu
                end
4922
                else
4923
                begin
4924 20 jefflieu
                        n0lO0O <= (~ (wire_n01ill_dout[1] & (~ wire_n01ill_dout[0])));
4925 9 jefflieu
                        n0lO1i <= n0lO0O;
4926 20 jefflieu
                        n0O0iO <= (~ nli10OO);
4927
                        n0O11i <= (~ ((~ (n0Oili ^ wire_n01ill_dout[0])) & (~ (n0OilO ^ wire_n01ill_dout[1]))));
4928 9 jefflieu
                        n0Oi1i <= wire_n0Oi0l_dataout;
4929 20 jefflieu
                        n0Oili <= wire_n01ill_dout[0];
4930
                        nlil01i <= wire_nlil0lO_dataout;
4931
                        nlil01l <= wire_nlil0Oi_dataout;
4932
                        nlil01O <= nlili1i;
4933
                        nlil10i <= wire_nlil00i_dataout;
4934
                        nlil1li <= wire_nlil00l_dataout;
4935
                        nlil1ll <= wire_nlil00O_dataout;
4936
                        nlil1lO <= wire_nlil0ii_dataout;
4937
                        nlil1Oi <= wire_nlil0il_dataout;
4938
                        nlil1Ol <= wire_nlil0iO_dataout;
4939
                        nlil1OO <= wire_nlil0ll_dataout;
4940
                        nlili1i <= nl011O;
4941 9 jefflieu
                end
4942
        end
4943
        initial
4944
        begin
4945
                n0O0li = 0;
4946
                n0O0lO = 0;
4947
                n0OilO = 0;
4948 20 jefflieu
                nlil0OO = 0;
4949
                nlil1il = 0;
4950
                nlili1l = 0;
4951 9 jefflieu
        end
4952 20 jefflieu
        always @ ( posedge wire_nl00l_clkout or  posedge nlii0ll)
4953 9 jefflieu
        begin
4954 20 jefflieu
                if (nlii0ll == 1'b1)
4955 9 jefflieu
                begin
4956
                        n0O0li <= 1;
4957
                        n0O0lO <= 1;
4958
                        n0OilO <= 1;
4959 20 jefflieu
                        nlil0OO <= 1;
4960
                        nlil1il <= 1;
4961
                        nlili1l <= 1;
4962 9 jefflieu
                end
4963
                else
4964
                begin
4965 20 jefflieu
                        n0O0li <= nli10OO;
4966
                        n0O0lO <= ((nlii0ll | n0O11i) | n01i0O);
4967
                        n0OilO <= wire_n01ill_dout[1];
4968
                        nlil0OO <= nlili1l;
4969
                        nlil1il <= wire_nlil10l_dataout;
4970
                        nlili1l <= nl010i;
4971 9 jefflieu
                end
4972
        end
4973
        event n0O0li_event;
4974
        event n0O0lO_event;
4975
        event n0OilO_event;
4976 20 jefflieu
        event nlil0OO_event;
4977
        event nlil1il_event;
4978
        event nlili1l_event;
4979 9 jefflieu
        initial
4980
                #1 ->n0O0li_event;
4981
        initial
4982
                #1 ->n0O0lO_event;
4983
        initial
4984
                #1 ->n0OilO_event;
4985
        initial
4986 20 jefflieu
                #1 ->nlil0OO_event;
4987 9 jefflieu
        initial
4988 20 jefflieu
                #1 ->nlil1il_event;
4989 9 jefflieu
        initial
4990 20 jefflieu
                #1 ->nlili1l_event;
4991 9 jefflieu
        always @(n0O0li_event)
4992
                n0O0li <= 1;
4993
        always @(n0O0lO_event)
4994
                n0O0lO <= 1;
4995
        always @(n0OilO_event)
4996
                n0OilO <= 1;
4997 20 jefflieu
        always @(nlil0OO_event)
4998
                nlil0OO <= 1;
4999
        always @(nlil1il_event)
5000
                nlil1il <= 1;
5001
        always @(nlili1l_event)
5002
                nlili1l <= 1;
5003 9 jefflieu
        initial
5004
        begin
5005 20 jefflieu
                n01i0O = 0;
5006
                n01iii = 0;
5007 9 jefflieu
                n0OiOi = 0;
5008
                n0OiOl = 0;
5009
                n0OiOO = 0;
5010
                n0Ol1l = 0;
5011
        end
5012 20 jefflieu
        always @ ( posedge wire_nl00l_clkout or  posedge nlilOl)
5013 9 jefflieu
        begin
5014
                if (nlilOl == 1'b1)
5015
                begin
5016 20 jefflieu
                        n01i0O <= 1;
5017
                        n01iii <= 1;
5018 9 jefflieu
                        n0OiOi <= 1;
5019
                        n0OiOl <= 1;
5020
                        n0OiOO <= 1;
5021
                        n0Ol1l <= 1;
5022
                end
5023
                else
5024
                begin
5025 20 jefflieu
                        n01i0O <= n01iii;
5026
                        n01iii <= nlii0iO;
5027 9 jefflieu
                        n0OiOi <= n0OiOl;
5028 20 jefflieu
                        n0OiOl <= nlii0iO;
5029 9 jefflieu
                        n0OiOO <= n0Ol1l;
5030 20 jefflieu
                        n0Ol1l <= nlii0iO;
5031 9 jefflieu
                end
5032
        end
5033 20 jefflieu
        event n01i0O_event;
5034
        event n01iii_event;
5035 9 jefflieu
        event n0OiOi_event;
5036
        event n0OiOl_event;
5037
        event n0OiOO_event;
5038
        event n0Ol1l_event;
5039
        initial
5040 20 jefflieu
                #1 ->n01i0O_event;
5041 9 jefflieu
        initial
5042 20 jefflieu
                #1 ->n01iii_event;
5043 9 jefflieu
        initial
5044
                #1 ->n0OiOi_event;
5045
        initial
5046
                #1 ->n0OiOl_event;
5047
        initial
5048
                #1 ->n0OiOO_event;
5049
        initial
5050
                #1 ->n0Ol1l_event;
5051 20 jefflieu
        always @(n01i0O_event)
5052
                n01i0O <= 1;
5053
        always @(n01iii_event)
5054
                n01iii <= 1;
5055 9 jefflieu
        always @(n0OiOi_event)
5056
                n0OiOi <= 1;
5057
        always @(n0OiOl_event)
5058
                n0OiOl <= 1;
5059
        always @(n0OiOO_event)
5060
                n0OiOO <= 1;
5061
        always @(n0Ol1l_event)
5062
                n0Ol1l <= 1;
5063
        initial
5064
        begin
5065
                n0Ol0i = 0;
5066
                n0Ol0l = 0;
5067
                n0Ol0O = 0;
5068
                n0Ol1O = 0;
5069
                n0Olii = 0;
5070
                n0Olil = 0;
5071
                n0OliO = 0;
5072
                n0Olli = 0;
5073
                n0Olll = 0;
5074
                n0OO1l = 0;
5075
        end
5076 20 jefflieu
        always @ ( posedge wire_nl00l_clkout or  posedge nlii0li)
5077 9 jefflieu
        begin
5078 20 jefflieu
                if (nlii0li == 1'b1)
5079 9 jefflieu
                begin
5080
                        n0Ol0i <= 0;
5081
                        n0Ol0l <= 0;
5082
                        n0Ol0O <= 0;
5083
                        n0Ol1O <= 0;
5084
                        n0Olii <= 0;
5085
                        n0Olil <= 0;
5086
                        n0OliO <= 0;
5087
                        n0Olli <= 0;
5088
                        n0Olll <= 0;
5089
                        n0OO1l <= 0;
5090
                end
5091
                else if  (niO1ii == 1'b1)
5092
                begin
5093
                        n0Ol0i <= n0OlOO;
5094
                        n0Ol0l <= n0OO1O;
5095
                        n0Ol0O <= n0OO0i;
5096
                        n0Ol1O <= n0OllO;
5097
                        n0Olii <= n0OO0l;
5098
                        n0Olil <= n0OO0O;
5099
                        n0OliO <= n0OOii;
5100
                        n0Olli <= n0OOil;
5101
                        n0Olll <= n0OOiO;
5102
                        n0OO1l <= (ni1lOi | ni1llO);
5103
                end
5104
        end
5105
        initial
5106
        begin
5107 20 jefflieu
                n110l = 0;
5108
                n11ii = 0;
5109
                nl010i = 0;
5110
                nllllO = 0;
5111
                nlOOll = 0;
5112
        end
5113
        always @ ( posedge clk or  posedge reset)
5114
        begin
5115
                if (reset == 1'b1)
5116
                begin
5117
                        n110l <= 1;
5118
                        n11ii <= 1;
5119
                        nl010i <= 1;
5120
                        nllllO <= 1;
5121
                        nlOOll <= 1;
5122
                end
5123
                else
5124
                begin
5125
                        n110l <= n11ii;
5126
                        n11ii <= nlii0iO;
5127
                        nl010i <= wire_nl01il_dataout;
5128
                        nllllO <= (~ nlOOli);
5129
                        nlOOll <= wire_nlOilO_o;
5130
                end
5131
        end
5132
        event n110l_event;
5133
        event n11ii_event;
5134
        event nl010i_event;
5135
        event nllllO_event;
5136
        event nlOOll_event;
5137
        initial
5138
                #1 ->n110l_event;
5139
        initial
5140
                #1 ->n11ii_event;
5141
        initial
5142
                #1 ->nl010i_event;
5143
        initial
5144
                #1 ->nllllO_event;
5145
        initial
5146
                #1 ->nlOOll_event;
5147
        always @(n110l_event)
5148
                n110l <= 1;
5149
        always @(n11ii_event)
5150
                n11ii <= 1;
5151
        always @(nl010i_event)
5152
                nl010i <= 1;
5153
        always @(nllllO_event)
5154
                nllllO <= 1;
5155
        always @(nlOOll_event)
5156
                nlOOll <= 1;
5157
        initial
5158
        begin
5159
                n11il = 0;
5160
                n11iO = 0;
5161
                n11li = 0;
5162
                n11ll = 0;
5163
                n11lO = 0;
5164
                n11Ol = 0;
5165
        end
5166
        always @ ( posedge clk or  negedge wire_n11Oi_CLRN)
5167
        begin
5168
                if (wire_n11Oi_CLRN == 1'b0)
5169
                begin
5170
                        n11il <= 0;
5171
                        n11iO <= 0;
5172
                        n11li <= 0;
5173
                        n11ll <= 0;
5174
                        n11lO <= 0;
5175
                        n11Ol <= 0;
5176
                end
5177
                else
5178
                begin
5179
                        n11il <= reconfig_busy;
5180
                        n11iO <= ((~ n1l1i) & wire_nl00O_freqlocked);
5181
                        n11li <= wire_nli1l_dataout;
5182
                        n11ll <= n11li;
5183
                        n11lO <= n11il;
5184
                        n11Ol <= n11iO;
5185
                end
5186
        end
5187
        assign
5188
                wire_n11Oi_CLRN = (nli000i56 ^ nli000i55);
5189
        initial
5190
        begin
5191 9 jefflieu
                ni00ll = 0;
5192
        end
5193 20 jefflieu
        always @ ( posedge wire_nl00l_clkout or  posedge nili0i)
5194 9 jefflieu
        begin
5195
                if (nili0i == 1'b1)
5196
                begin
5197
                        ni00ll <= 1;
5198
                end
5199
                else if  (nii0Ol == 1'b1)
5200
                begin
5201
                        ni00ll <= wire_ni0i1i_dataout;
5202
                end
5203
        end
5204
        event ni00ll_event;
5205
        initial
5206
                #1 ->ni00ll_event;
5207
        always @(ni00ll_event)
5208
                ni00ll <= 1;
5209
        initial
5210
        begin
5211
                ni001i = 0;
5212
                ni001l = 0;
5213
                ni001O = 0;
5214
                ni00ii = 0;
5215
                ni00il = 0;
5216
                ni00iO = 0;
5217
                ni00lO = 0;
5218
                ni00Oi = 0;
5219
                ni00OO = 0;
5220
                ni01Ol = 0;
5221
                ni01OO = 0;
5222
        end
5223 20 jefflieu
        always @ ( posedge wire_nl00l_clkout or  posedge nili0i)
5224 9 jefflieu
        begin
5225
                if (nili0i == 1'b1)
5226
                begin
5227
                        ni001i <= 0;
5228
                        ni001l <= 0;
5229
                        ni001O <= 0;
5230
                        ni00ii <= 0;
5231
                        ni00il <= 0;
5232
                        ni00iO <= 0;
5233
                        ni00lO <= 0;
5234
                        ni00Oi <= 0;
5235
                        ni00OO <= 0;
5236
                        ni01Ol <= 0;
5237
                        ni01OO <= 0;
5238
                end
5239
                else if  (nii0Ol == 1'b1)
5240
                begin
5241
                        ni001i <= ni00OO;
5242
                        ni001l <= ni00ll;
5243
                        ni001O <= (ni00lO ^ ni00ll);
5244
                        ni00ii <= ni00lO;
5245
                        ni00il <= ni00Oi;
5246
                        ni00iO <= ni00OO;
5247
                        ni00lO <= wire_ni0i1l_dataout;
5248
                        ni00Oi <= wire_ni0i1O_dataout;
5249
                        ni00OO <= wire_ni0i0i_dataout;
5250
                        ni01Ol <= (ni00Oi ^ ni00lO);
5251
                        ni01OO <= (ni00OO ^ ni00Oi);
5252
                end
5253
        end
5254
        initial
5255
        begin
5256
                ni010i = 0;
5257
                ni010l = 0;
5258
                ni01ii = 0;
5259
                ni1O0l = 0;
5260
                ni1O0O = 0;
5261
                ni1Oii = 0;
5262
                ni1Oil = 0;
5263
                ni1OiO = 0;
5264
                ni1OOi = 0;
5265
                ni1OOl = 0;
5266
                ni1OOO = 0;
5267
        end
5268 20 jefflieu
        always @ ( posedge wire_nl00l_clkout or  posedge nili0l)
5269 9 jefflieu
        begin
5270
                if (nili0l == 1'b1)
5271
                begin
5272
                        ni010i <= 0;
5273
                        ni010l <= 0;
5274
                        ni01ii <= 0;
5275
                        ni1O0l <= 0;
5276
                        ni1O0O <= 0;
5277
                        ni1Oii <= 0;
5278
                        ni1Oil <= 0;
5279
                        ni1OiO <= 0;
5280
                        ni1OOi <= 0;
5281
                        ni1OOl <= 0;
5282
                        ni1OOO <= 0;
5283
                end
5284 20 jefflieu
                else if  (nli1iii == 1'b1)
5285 9 jefflieu
                begin
5286
                        ni010i <= wire_ni01iO_dataout;
5287
                        ni010l <= wire_ni01li_dataout;
5288
                        ni01ii <= wire_ni01ll_dataout;
5289
                        ni1O0l <= (ni010l ^ ni010i);
5290
                        ni1O0O <= (ni01ii ^ ni010l);
5291
                        ni1Oii <= ni01ii;
5292
                        ni1Oil <= ni011l;
5293
                        ni1OiO <= (ni010i ^ ni011l);
5294
                        ni1OOi <= ni010i;
5295
                        ni1OOl <= ni010l;
5296
                        ni1OOO <= ni01ii;
5297
                end
5298
        end
5299
        initial
5300
        begin
5301
                ni011l = 0;
5302
        end
5303 20 jefflieu
        always @ ( posedge wire_nl00l_clkout or  posedge nili0l)
5304 9 jefflieu
        begin
5305
                if (nili0l == 1'b1)
5306
                begin
5307
                        ni011l <= 1;
5308
                end
5309 20 jefflieu
                else if  (nli1iii == 1'b1)
5310 9 jefflieu
                begin
5311
                        ni011l <= wire_ni01il_dataout;
5312
                end
5313
        end
5314
        event ni011l_event;
5315
        initial
5316
                #1 ->ni011l_event;
5317
        always @(ni011l_event)
5318
                ni011l <= 1;
5319
        initial
5320
        begin
5321
                ni0lli = 0;
5322
        end
5323 20 jefflieu
        always @ ( posedge wire_nl00l_clkout or  posedge nili0i)
5324 9 jefflieu
        begin
5325
                if (nili0i == 1'b1)
5326
                begin
5327
                        ni0lli <= 0;
5328
                end
5329
                else if  (ni0lii == 1'b0)
5330
                begin
5331 20 jefflieu
                        ni0lli <= nlii0lO;
5332 9 jefflieu
                end
5333
        end
5334
        initial
5335
        begin
5336
                ni0l0i = 0;
5337
                ni0l0l = 0;
5338
                ni0l0O = 0;
5339
                ni0l1l = 0;
5340
                ni0O0O = 0;
5341
        end
5342 20 jefflieu
        always @ ( posedge wire_nl00l_clkout or  posedge nili0l)
5343 9 jefflieu
        begin
5344
                if (nili0l == 1'b1)
5345
                begin
5346
                        ni0l0i <= 0;
5347
                        ni0l0l <= 0;
5348
                        ni0l0O <= 0;
5349
                        ni0l1l <= 0;
5350
                        ni0O0O <= 0;
5351
                end
5352 20 jefflieu
                else if  (nliil0l == 1'b1)
5353 9 jefflieu
                begin
5354
                        ni0l0i <= wire_ni0lil_o[2];
5355
                        ni0l0l <= wire_ni0lil_o[3];
5356
                        ni0l0O <= wire_ni0lil_o[4];
5357
                        ni0l1l <= wire_ni0lil_o[1];
5358
                        ni0O0O <= wire_ni0OOl_o;
5359
                end
5360
        end
5361
        initial
5362
        begin
5363
                ni1i1l = 0;
5364
        end
5365 20 jefflieu
        always @ ( posedge wire_nl00l_clkout or  posedge nlii0li)
5366 9 jefflieu
        begin
5367 20 jefflieu
                if (nlii0li == 1'b1)
5368 9 jefflieu
                begin
5369
                        ni1i1l <= 1;
5370
                end
5371 20 jefflieu
                else if  (nliil0l == 1'b1)
5372 9 jefflieu
                begin
5373
                        ni1i1l <= wire_ni101l_o;
5374
                end
5375
        end
5376
        event ni1i1l_event;
5377
        initial
5378
                #1 ->ni1i1l_event;
5379
        always @(ni1i1l_event)
5380
                ni1i1l <= 1;
5381
        initial
5382
        begin
5383
                ni0OOi = 0;
5384
                ni0OOO = 0;
5385
                nii10i = 0;
5386
                nii10l = 0;
5387
                nii11i = 0;
5388
                nii11l = 0;
5389
                nii11O = 0;
5390
                nii1ii = 0;
5391
        end
5392 20 jefflieu
        always @ ( posedge wire_nl00l_clkout or  posedge nili0l)
5393 9 jefflieu
        begin
5394
                if (nili0l == 1'b1)
5395
                begin
5396
                        ni0OOi <= 0;
5397
                        ni0OOO <= 0;
5398
                        nii10i <= 0;
5399
                        nii10l <= 0;
5400
                        nii11i <= 0;
5401
                        nii11l <= 0;
5402
                        nii11O <= 0;
5403
                        nii1ii <= 0;
5404
                end
5405
                else
5406
                begin
5407
                        ni0OOi <= (((nii1ii ^ nii11O) ^ nii10l) ^ nii10i);
5408
                        ni0OOO <= ((nii1ii ^ nii10i) ^ nii10l);
5409
                        nii10i <= ni01Ol;
5410
                        nii10l <= ni01OO;
5411
                        nii11i <= (nii1ii ^ nii10l);
5412
                        nii11l <= nii1ii;
5413
                        nii11O <= ni001O;
5414
                        nii1ii <= ni001i;
5415
                end
5416
        end
5417
        initial
5418
        begin
5419
                ni0lll = 0;
5420
                ni0lOi = 0;
5421
                ni0lOl = 0;
5422
                ni0lOO = 0;
5423
                ni0O0i = 0;
5424
                ni0O1i = 0;
5425
                ni0O1l = 0;
5426
                ni0O1O = 0;
5427
                nii00l = 0;
5428
                nii00O = 0;
5429
                nii0ii = 0;
5430
                nii0il = 0;
5431
                nii0iO = 0;
5432
                nii0li = 0;
5433
                nii0ll = 0;
5434
                nii0lO = 0;
5435
                nii0Oi = 0;
5436
                nii0Ol = 0;
5437
                nii0OO = 0;
5438
                niii0l = 0;
5439
                niii0O = 0;
5440
                niii1l = 0;
5441
                niiiii = 0;
5442
                niiiil = 0;
5443
                niiiiO = 0;
5444
                niiili = 0;
5445
                niiill = 0;
5446
                niiilO = 0;
5447
                nil00i = 0;
5448
                nil01l = 0;
5449
                nil01O = 0;
5450
                nil0ii = 0;
5451
                nil1Ol = 0;
5452
                nil1OO = 0;
5453
        end
5454 20 jefflieu
        always @ ( posedge wire_nl00l_clkout or  posedge nili0i)
5455 9 jefflieu
        begin
5456
                if (nili0i == 1'b1)
5457
                begin
5458
                        ni0lll <= 0;
5459
                        ni0lOi <= 0;
5460
                        ni0lOl <= 0;
5461
                        ni0lOO <= 0;
5462
                        ni0O0i <= 0;
5463
                        ni0O1i <= 0;
5464
                        ni0O1l <= 0;
5465
                        ni0O1O <= 0;
5466
                        nii00l <= 0;
5467
                        nii00O <= 0;
5468
                        nii0ii <= 0;
5469
                        nii0il <= 0;
5470
                        nii0iO <= 0;
5471
                        nii0li <= 0;
5472
                        nii0ll <= 0;
5473
                        nii0lO <= 0;
5474
                        nii0Oi <= 0;
5475
                        nii0Ol <= 0;
5476
                        nii0OO <= 0;
5477
                        niii0l <= 0;
5478
                        niii0O <= 0;
5479
                        niii1l <= 0;
5480
                        niiiii <= 0;
5481
                        niiiil <= 0;
5482
                        niiiiO <= 0;
5483
                        niiili <= 0;
5484
                        niiill <= 0;
5485
                        niiilO <= 0;
5486
                        nil00i <= 0;
5487
                        nil01l <= 0;
5488
                        nil01O <= 0;
5489
                        nil0ii <= 0;
5490
                        nil1Ol <= 0;
5491
                        nil1OO <= 0;
5492
                end
5493
                else
5494
                begin
5495
                        ni0lll <= (((ni0O0i ^ ni0O1i) ^ ni0O1O) ^ ni0O1l);
5496
                        ni0lOi <= ((ni0O0i ^ ni0O1l) ^ ni0O1O);
5497
                        ni0lOl <= (ni0O0i ^ ni0O1O);
5498
                        ni0lOO <= ni0O0i;
5499
                        ni0O0i <= ni1Oii;
5500
                        ni0O1i <= ni1OiO;
5501
                        ni0O1l <= ni1O0l;
5502
                        ni0O1O <= ni1O0O;
5503
                        nii00l <= wire_ni0iOO_dataout;
5504
                        nii00O <= wire_ni0iii_dataout;
5505
                        nii0ii <= wire_ni0iil_dataout;
5506
                        nii0il <= wire_ni0iiO_dataout;
5507
                        nii0iO <= wire_ni0ili_dataout;
5508
                        nii0li <= wire_ni0ill_dataout;
5509
                        nii0ll <= wire_ni0ilO_dataout;
5510
                        nii0lO <= wire_ni0iOi_dataout;
5511
                        nii0Oi <= wire_ni0iOl_dataout;
5512
                        nii0Ol <= wire_niii1i_dataout;
5513
                        nii0OO <= wire_niii1O_dataout;
5514
                        niii0l <= wire_ni0l1i_dataout;
5515
                        niii0O <= wire_niiiOl_dataout;
5516
                        niii1l <= wire_niiiOi_dataout;
5517
                        niiiii <= wire_niiiOO_dataout;
5518
                        niiiil <= wire_niil1i_dataout;
5519
                        niiiiO <= wire_niil1l_dataout;
5520
                        niiili <= wire_niil1O_dataout;
5521
                        niiill <= wire_niil0i_dataout;
5522
                        niiilO <= wire_niiOOi_o;
5523
                        nil00i <= nil0ii;
5524
                        nil01l <= nil01O;
5525
                        nil01O <= n0OiOO;
5526
                        nil0ii <= nl011O;
5527
                        nil1Ol <= wire_niiOOO_o;
5528
                        nil1OO <= wire_nil11l_o;
5529
                end
5530
        end
5531
        initial
5532
        begin
5533
                ni0lii = 0;
5534
                nil00l = 0;
5535
                nil01i = 0;
5536
                nil0iO = 0;
5537
        end
5538 20 jefflieu
        always @ ( posedge wire_nl00l_clkout or  posedge nili0i)
5539 9 jefflieu
        begin
5540
                if (nili0i == 1'b1)
5541
                begin
5542
                        ni0lii <= 1;
5543
                        nil00l <= 1;
5544
                        nil01i <= 1;
5545
                        nil0iO <= 1;
5546
                end
5547
                else
5548
                begin
5549
                        ni0lii <= wire_ni0llO_o;
5550
                        nil00l <= nil0iO;
5551
                        nil01i <= wire_nil10i_o;
5552
                        nil0iO <= nl010i;
5553
                end
5554
        end
5555
        event ni0lii_event;
5556
        event nil00l_event;
5557
        event nil01i_event;
5558
        event nil0iO_event;
5559
        initial
5560
                #1 ->ni0lii_event;
5561
        initial
5562
                #1 ->nil00l_event;
5563
        initial
5564
                #1 ->nil01i_event;
5565
        initial
5566
                #1 ->nil0iO_event;
5567
        always @(ni0lii_event)
5568
                ni0lii <= 1;
5569
        always @(nil00l_event)
5570
                nil00l <= 1;
5571
        always @(nil01i_event)
5572
                nil01i <= 1;
5573
        always @(nil0iO_event)
5574
                nil0iO <= 1;
5575
        initial
5576
        begin
5577 20 jefflieu
                n011il = 0;
5578
                n1i00i = 0;
5579
                n1i00l = 0;
5580
                n1i00O = 0;
5581
                n1i0ii = 0;
5582 9 jefflieu
                n1i0iO = 0;
5583 20 jefflieu
                n1i1il = 0;
5584 9 jefflieu
                n1i1lO = 0;
5585
                nili0i = 0;
5586
                nili0l = 0;
5587
                niO00i = 0;
5588
                niO01l = 0;
5589
                niO0ii = 0;
5590
                niO1ll = 0;
5591
                niO1Oi = 0;
5592
                niO1OO = 0;
5593 20 jefflieu
                nliil0l = 0;
5594
                nliiOlO = 0;
5595
                nliiOOl = 0;
5596 9 jefflieu
        end
5597 20 jefflieu
        always @ ( posedge wire_nl00l_clkout or  posedge nlii0li)
5598 9 jefflieu
        begin
5599 20 jefflieu
                if (nlii0li == 1'b1)
5600 9 jefflieu
                begin
5601 20 jefflieu
                        n011il <= 1;
5602
                        n1i00i <= 1;
5603
                        n1i00l <= 1;
5604
                        n1i00O <= 1;
5605
                        n1i0ii <= 1;
5606 9 jefflieu
                        n1i0iO <= 1;
5607 20 jefflieu
                        n1i1il <= 1;
5608 9 jefflieu
                        n1i1lO <= 1;
5609
                        nili0i <= 1;
5610
                        nili0l <= 1;
5611
                        niO00i <= 1;
5612
                        niO01l <= 1;
5613
                        niO0ii <= 1;
5614
                        niO1ll <= 1;
5615
                        niO1Oi <= 1;
5616
                        niO1OO <= 1;
5617 20 jefflieu
                        nliil0l <= 1;
5618
                        nliiOlO <= 1;
5619
                        nliiOOl <= 1;
5620 9 jefflieu
                end
5621
                else
5622
                begin
5623 20 jefflieu
                        n011il <= wire_n010iO_dataout;
5624
                        n1i00i <= wire_n1i0Ol_dataout;
5625
                        n1i00l <= wire_n1i0OO_dataout;
5626
                        n1i00O <= wire_n1ii1i_dataout;
5627
                        n1i0ii <= wire_n1ii1l_dataout;
5628 9 jefflieu
                        n1i0iO <= wire_n1ii0i_dataout;
5629 20 jefflieu
                        n1i1il <= wire_n1i1Oi_dataout;
5630
                        n1i1lO <= wire_n1i0ll_dataout;
5631
                        nili0i <= ((nlii0li | nili1O) | n0OiOO);
5632
                        nili0l <= ((nlii0li | nili1l) | n0OiOi);
5633 9 jefflieu
                        niO00i <= niO0ii;
5634
                        niO01l <= niO00i;
5635
                        niO0ii <= nl010i;
5636
                        niO1ll <= niO1Oi;
5637
                        niO1Oi <= niO1OO;
5638
                        niO1OO <= nl010i;
5639 20 jefflieu
                        nliil0l <= wire_nliil1l_dataout;
5640
                        nliiOlO <= nliiOOl;
5641
                        nliiOOl <= nl010i;
5642 9 jefflieu
                end
5643
        end
5644 20 jefflieu
        event n011il_event;
5645
        event n1i00i_event;
5646
        event n1i00l_event;
5647
        event n1i00O_event;
5648
        event n1i0ii_event;
5649 9 jefflieu
        event n1i0iO_event;
5650 20 jefflieu
        event n1i1il_event;
5651 9 jefflieu
        event n1i1lO_event;
5652
        event nili0i_event;
5653
        event nili0l_event;
5654
        event niO00i_event;
5655
        event niO01l_event;
5656
        event niO0ii_event;
5657
        event niO1ll_event;
5658
        event niO1Oi_event;
5659
        event niO1OO_event;
5660 20 jefflieu
        event nliil0l_event;
5661
        event nliiOlO_event;
5662
        event nliiOOl_event;
5663 9 jefflieu
        initial
5664 20 jefflieu
                #1 ->n011il_event;
5665 9 jefflieu
        initial
5666 20 jefflieu
                #1 ->n1i00i_event;
5667 9 jefflieu
        initial
5668 20 jefflieu
                #1 ->n1i00l_event;
5669 9 jefflieu
        initial
5670 20 jefflieu
                #1 ->n1i00O_event;
5671 9 jefflieu
        initial
5672 20 jefflieu
                #1 ->n1i0ii_event;
5673 9 jefflieu
        initial
5674 20 jefflieu
                #1 ->n1i0iO_event;
5675 9 jefflieu
        initial
5676 20 jefflieu
                #1 ->n1i1il_event;
5677 9 jefflieu
        initial
5678
                #1 ->n1i1lO_event;
5679
        initial
5680
                #1 ->nili0i_event;
5681
        initial
5682
                #1 ->nili0l_event;
5683
        initial
5684
                #1 ->niO00i_event;
5685
        initial
5686
                #1 ->niO01l_event;
5687
        initial
5688
                #1 ->niO0ii_event;
5689
        initial
5690
                #1 ->niO1ll_event;
5691
        initial
5692
                #1 ->niO1Oi_event;
5693
        initial
5694
                #1 ->niO1OO_event;
5695
        initial
5696 20 jefflieu
                #1 ->nliil0l_event;
5697 9 jefflieu
        initial
5698 20 jefflieu
                #1 ->nliiOlO_event;
5699 9 jefflieu
        initial
5700 20 jefflieu
                #1 ->nliiOOl_event;
5701
        always @(n011il_event)
5702
                n011il <= 1;
5703
        always @(n1i00i_event)
5704
                n1i00i <= 1;
5705
        always @(n1i00l_event)
5706
                n1i00l <= 1;
5707
        always @(n1i00O_event)
5708
                n1i00O <= 1;
5709
        always @(n1i0ii_event)
5710
                n1i0ii <= 1;
5711 9 jefflieu
        always @(n1i0iO_event)
5712
                n1i0iO <= 1;
5713 20 jefflieu
        always @(n1i1il_event)
5714
                n1i1il <= 1;
5715 9 jefflieu
        always @(n1i1lO_event)
5716
                n1i1lO <= 1;
5717
        always @(nili0i_event)
5718
                nili0i <= 1;
5719
        always @(nili0l_event)
5720
                nili0l <= 1;
5721
        always @(niO00i_event)
5722
                niO00i <= 1;
5723
        always @(niO01l_event)
5724
                niO01l <= 1;
5725
        always @(niO0ii_event)
5726
                niO0ii <= 1;
5727
        always @(niO1ll_event)
5728
                niO1ll <= 1;
5729
        always @(niO1Oi_event)
5730
                niO1Oi <= 1;
5731
        always @(niO1OO_event)
5732
                niO1OO <= 1;
5733 20 jefflieu
        always @(nliil0l_event)
5734
                nliil0l <= 1;
5735
        always @(nliiOlO_event)
5736
                nliiOlO <= 1;
5737
        always @(nliiOOl_event)
5738
                nliiOOl <= 1;
5739 9 jefflieu
        initial
5740
        begin
5741
                n0OllO = 0;
5742
                n0OlOl = 0;
5743
                n0OlOO = 0;
5744
                n0OO0i = 0;
5745
                n0OO0l = 0;
5746
                n0OO0O = 0;
5747
                n0OO1O = 0;
5748
                n0OOii = 0;
5749
                n0OOil = 0;
5750
                n0OOiO = 0;
5751
                n0OOli = 0;
5752
                ni10Ol = 0;
5753
                ni10OO = 0;
5754
                ni1i0i = 0;
5755
                ni1i0l = 0;
5756
                ni1i0O = 0;
5757
                ni1i1O = 0;
5758
                ni1iii = 0;
5759
                ni1iil = 0;
5760
                ni1iiO = 0;
5761
                ni1ili = 0;
5762
                ni1ill = 0;
5763
                ni1ilO = 0;
5764
                ni1iOi = 0;
5765
                ni1iOl = 0;
5766
                ni1iOO = 0;
5767
                ni1l0i = 0;
5768
                ni1l0l = 0;
5769
                ni1l0O = 0;
5770
                ni1l1i = 0;
5771
                ni1l1l = 0;
5772
                ni1l1O = 0;
5773
                ni1lii = 0;
5774
                ni1lil = 0;
5775
                ni1liO = 0;
5776
                ni1lli = 0;
5777
                ni1lll = 0;
5778
                ni1llO = 0;
5779
                ni1lOi = 0;
5780
                ni1lOl = 0;
5781
                ni1lOO = 0;
5782
                ni1O1i = 0;
5783
                ni1O1l = 0;
5784
                ni1O1O = 0;
5785
                nill0l = 0;
5786
                nilOOi = 0;
5787
                nilOOl = 0;
5788
                nilOOO = 0;
5789
                niO0il = 0;
5790
                niO0li = 0;
5791
                niO10i = 0;
5792
                niO10l = 0;
5793
                niO10O = 0;
5794
                niO11i = 0;
5795
                niO11l = 0;
5796
                niO11O = 0;
5797
        end
5798 20 jefflieu
        always @ ( posedge wire_nl00l_clkout or  posedge nlii0li)
5799 9 jefflieu
        begin
5800 20 jefflieu
                if (nlii0li == 1'b1)
5801 9 jefflieu
                begin
5802
                        n0OllO <= 0;
5803
                        n0OlOl <= 0;
5804
                        n0OlOO <= 0;
5805
                        n0OO0i <= 0;
5806
                        n0OO0l <= 0;
5807
                        n0OO0O <= 0;
5808
                        n0OO1O <= 0;
5809
                        n0OOii <= 0;
5810
                        n0OOil <= 0;
5811
                        n0OOiO <= 0;
5812
                        n0OOli <= 0;
5813
                        ni10Ol <= 0;
5814
                        ni10OO <= 0;
5815
                        ni1i0i <= 0;
5816
                        ni1i0l <= 0;
5817
                        ni1i0O <= 0;
5818
                        ni1i1O <= 0;
5819
                        ni1iii <= 0;
5820
                        ni1iil <= 0;
5821
                        ni1iiO <= 0;
5822
                        ni1ili <= 0;
5823
                        ni1ill <= 0;
5824
                        ni1ilO <= 0;
5825
                        ni1iOi <= 0;
5826
                        ni1iOl <= 0;
5827
                        ni1iOO <= 0;
5828
                        ni1l0i <= 0;
5829
                        ni1l0l <= 0;
5830
                        ni1l0O <= 0;
5831
                        ni1l1i <= 0;
5832
                        ni1l1l <= 0;
5833
                        ni1l1O <= 0;
5834
                        ni1lii <= 0;
5835
                        ni1lil <= 0;
5836
                        ni1liO <= 0;
5837
                        ni1lli <= 0;
5838
                        ni1lll <= 0;
5839
                        ni1llO <= 0;
5840
                        ni1lOi <= 0;
5841
                        ni1lOl <= 0;
5842
                        ni1lOO <= 0;
5843
                        ni1O1i <= 0;
5844
                        ni1O1l <= 0;
5845
                        ni1O1O <= 0;
5846
                        nill0l <= 0;
5847
                        nilOOi <= 0;
5848
                        nilOOl <= 0;
5849
                        nilOOO <= 0;
5850
                        niO0il <= 0;
5851
                        niO0li <= 0;
5852
                        niO10i <= 0;
5853
                        niO10l <= 0;
5854
                        niO10O <= 0;
5855
                        niO11i <= 0;
5856
                        niO11l <= 0;
5857
                        niO11O <= 0;
5858
                end
5859 20 jefflieu
                else if  (nliil0l == 1'b1)
5860 9 jefflieu
                begin
5861
                        n0OllO <= n0OlOl;
5862 20 jefflieu
                        n0OlOl <= nli1i1l;
5863 9 jefflieu
                        n0OlOO <= wire_n0OOll_dataout;
5864
                        n0OO0i <= wire_n0OOOi_dataout;
5865
                        n0OO0l <= wire_n0OOOl_dataout;
5866
                        n0OO0O <= wire_n0OOOO_dataout;
5867
                        n0OO1O <= wire_n0OOlO_dataout;
5868
                        n0OOii <= wire_ni111i_dataout;
5869
                        n0OOil <= wire_ni111l_dataout;
5870
                        n0OOiO <= wire_ni111O_dataout;
5871
                        n0OOli <= wire_ni11lO_o;
5872
                        ni10Ol <= wire_ni11Oi_o;
5873
                        ni10OO <= wire_ni11OO_o;
5874
                        ni1i0i <= ni1ilO;
5875
                        ni1i0l <= ni1iOi;
5876
                        ni1i0O <= ni1iOl;
5877
                        ni1i1O <= ni1ill;
5878
                        ni1iii <= ni1iOO;
5879
                        ni1iil <= ni1l1i;
5880
                        ni1iiO <= ni1l1l;
5881
                        ni1ili <= ni1l1O;
5882
                        ni1ill <= ni1iOO;
5883
                        ni1ilO <= ni1l1i;
5884
                        ni1iOi <= ni1l1l;
5885
                        ni1iOl <= ni1l1O;
5886
                        ni1iOO <= ni1lOO;
5887
                        ni1l0i <= ni1lil;
5888
                        ni1l0l <= ni1liO;
5889
                        ni1l0O <= ni1lli;
5890
                        ni1l1i <= ni1O1i;
5891
                        ni1l1l <= ni1O1l;
5892
                        ni1l1O <= ni1O1O;
5893
                        ni1lii <= ni1lll;
5894
                        ni1lil <= ni1lOO;
5895
                        ni1liO <= ni1O1i;
5896
                        ni1lli <= ni1O1l;
5897
                        ni1lll <= ni1O1O;
5898
                        ni1llO <= ni1lOi;
5899
                        ni1lOi <= mii_tx_err;
5900
                        ni1lOl <= mii_tx_en;
5901
                        ni1lOO <= mii_tx_d[0];
5902
                        ni1O1i <= mii_tx_d[1];
5903
                        ni1O1l <= mii_tx_d[2];
5904
                        ni1O1O <= mii_tx_d[3];
5905
                        nill0l <= gmii_tx_d[0];
5906
                        nilOOi <= gmii_tx_d[1];
5907
                        nilOOl <= gmii_tx_d[2];
5908
                        nilOOO <= gmii_tx_d[3];
5909
                        niO0il <= wire_niOi1i_dataout;
5910
                        niO0li <= niO0il;
5911
                        niO10i <= gmii_tx_d[7];
5912
                        niO10l <= gmii_tx_en;
5913
                        niO10O <= gmii_tx_err;
5914
                        niO11i <= gmii_tx_d[4];
5915
                        niO11l <= gmii_tx_d[5];
5916
                        niO11O <= gmii_tx_d[6];
5917
                end
5918
        end
5919
        initial
5920
        begin
5921 20 jefflieu
                n0O1i = 0;
5922
                niO0O = 0;
5923
                niOiO = 0;
5924
        end
5925
        always @ ( posedge wire_nl0ii_clkout or  negedge wire_niOil_PRN)
5926
        begin
5927
                if (wire_niOil_PRN == 1'b0)
5928
                begin
5929
                        n0O1i <= 1;
5930
                        niO0O <= 1;
5931
                        niOiO <= 1;
5932
                end
5933
                else
5934
                begin
5935
                        n0O1i <= (~ ((((((((((((((((((((nl10i & ((nl1ii & ((nl10l & (nl10O & (nl1il & nlii1Oi))) & (nlii1ll24 ^ nlii1ll23))) & (nlii1iO26 ^ nlii1iO25))) & (~ n0lil)) | ((nl10i & (nl10O & ((nl1il & nlii1il) & (nlii10O28 ^ nlii10O27)))) & (nlii10i30 ^ nlii10i29))) | (~ (nlii11l32 ^ nlii11l31))) | (((~ nl10i) & (nl10O & ((nl1il & nlii11i) & (nli0OOl34 ^ nli0OOl33)))) & (nli0OlO36 ^ nli0OlO35))) | ((~ nl10i) & ((~ nl10O) & ((nl1il & nli0Oll) & (nli0OiO38 ^ nli0OiO37))))) | (~ (nli0Oii40 ^ nli0Oii39))) | (((~ nl10i) & ((~ nl10O) & (nl1il & nli0O0O))) & (nli0O0i42 ^ nli0O0i41))) | (~ (nli0O1l44 ^ nli0O1l43))) | ((~ nl10i) & ((~ nl10O) & (nl1il & nli0O1i)))) | ((nl11O & (((~ nl10i) & ((~ nl10O) & ((nl1il & nli0lOO) & (nli0lOi46 ^ nli0lOi45)))) & (nli0lll48 ^ nli0lll47))) & (nli0liO50 ^ nli0liO49))) | (~ (nli0lii52 ^ nli0lii51))) | ((nl11O & (((~ nl10i) & ((~ nl10O) & (nl1il & nli0l0O))) & (nli0l0i54 ^ nli0l0i53))) & n0lil)) | ((nl11O & ((~ nl10i) & ((~ nl10O) & (nl1il & nli0l1O)))) & ((nl1ii & nli0l1l) | ((~ nl1ii) & nli0l1i)))) | ((~ nl10i) & ((~ nl10O) & (nl1il & nli0iOO)))) | ((~ nl10i) & ((~ nl10O) & (nl1il & nli0iOl)))) | ((~ nl10i) & ((~ nl10O) & (nl1il & nli0iOi)))) | ((~ nl11O) & ((~ nl10i) & ((~ nl10O) & (nl1il & nli0ilO))))) | ((((~ nl11O) & ((~ nl10i) & ((~ nl10O) & (nl1il & nli0ill)))) & n0lil) & nli0ili)) | (((~ nl11O) & ((~ nl10i) & ((~ nl10O) & (nl1il & nli0iiO)))) & nli0ili)));
5936
                        niO0O <= nl10l;
5937
                        niOiO <= nl1ii;
5938
                end
5939
        end
5940
        assign
5941
                wire_niOil_PRN = ((nlii01i20 ^ nlii01i19) & (~ n0i0l));
5942
        event n0O1i_event;
5943
        event niO0O_event;
5944
        event niOiO_event;
5945
        initial
5946
                #1 ->n0O1i_event;
5947
        initial
5948
                #1 ->niO0O_event;
5949
        initial
5950
                #1 ->niOiO_event;
5951
        always @(n0O1i_event)
5952
                n0O1i <= 1;
5953
        always @(niO0O_event)
5954
                niO0O <= 1;
5955
        always @(niOiO_event)
5956
                niOiO <= 1;
5957
        initial
5958
        begin
5959 9 jefflieu
                nl00ii = 0;
5960
        end
5961
        always @ ( posedge clk or  posedge reset)
5962
        begin
5963
                if (reset == 1'b1)
5964
                begin
5965
                        nl00ii <= 0;
5966
                end
5967
                else if  (wire_nl000O_ENA == 1'b1)
5968
                begin
5969 20 jefflieu
                        nl00ii <= nlO01i;
5970 9 jefflieu
                end
5971
        end
5972
        assign
5973 20 jefflieu
                wire_nl000O_ENA = (nli1lil & nlO1OO);
5974 9 jefflieu
        initial
5975
        begin
5976 20 jefflieu
                n0lil = 0;
5977
                niO1i = 0;
5978
                niOii = 0;
5979
                niOli = 0;
5980
                niOll = 0;
5981
                niOlO = 0;
5982
                niOOi = 0;
5983
                niOOl = 0;
5984
                niOOO = 0;
5985
                nl01l = 0;
5986
                nl10i = 0;
5987
                nl10l = 0;
5988
                nl10O = 0;
5989
                nl11i = 0;
5990
                nl11l = 0;
5991
                nl11O = 0;
5992
                nl1ii = 0;
5993
                nl1il = 0;
5994
                nl1iO = 0;
5995
                nl1li = 0;
5996
                nl1ll = 0;
5997
                nl1lO = 0;
5998
                nl1Oi = 0;
5999
                nl1Ol = 0;
6000
                nl1OO = 0;
6001
        end
6002
        always @ ( posedge wire_nl0ii_clkout or  negedge wire_nl01i_CLRN)
6003
        begin
6004
                if (wire_nl01i_CLRN == 1'b0)
6005
                begin
6006
                        n0lil <= 0;
6007
                        niO1i <= 0;
6008
                        niOii <= 0;
6009
                        niOli <= 0;
6010
                        niOll <= 0;
6011
                        niOlO <= 0;
6012
                        niOOi <= 0;
6013
                        niOOl <= 0;
6014
                        niOOO <= 0;
6015
                        nl01l <= 0;
6016
                        nl10i <= 0;
6017
                        nl10l <= 0;
6018
                        nl10O <= 0;
6019
                        nl11i <= 0;
6020
                        nl11l <= 0;
6021
                        nl11O <= 0;
6022
                        nl1ii <= 0;
6023
                        nl1il <= 0;
6024
                        nl1iO <= 0;
6025
                        nl1li <= 0;
6026
                        nl1ll <= 0;
6027
                        nl1lO <= 0;
6028
                        nl1Oi <= 0;
6029
                        nl1Ol <= 0;
6030
                        nl1OO <= 0;
6031
                end
6032
                else
6033
                begin
6034
                        n0lil <= wire_niO1l_dataout;
6035
                        niO1i <= nl1il;
6036
                        niOii <= nl10O;
6037
                        niOli <= nl1iO;
6038
                        niOll <= nl1li;
6039
                        niOlO <= nl1ll;
6040
                        niOOi <= nl1lO;
6041
                        niOOl <= nl1Oi;
6042
                        niOOO <= nl1Ol;
6043
                        nl01l <= wire_nl0ii_dataout[7];
6044
                        nl10i <= wire_nl0ii_patterndetect[0];
6045
                        nl10l <= wire_nl0ii_errdetect[0];
6046
                        nl10O <= wire_nl0ii_ctrldetect[0];
6047
                        nl11i <= nl1OO;
6048
                        nl11l <= nl01l;
6049
                        nl11O <= wire_nl0ii_runningdisp[0];
6050
                        nl1ii <= wire_nl0ii_disperr[0];
6051
                        nl1il <= wire_nl0ii_syncstatus[0];
6052
                        nl1iO <= wire_nl0ii_dataout[0];
6053
                        nl1li <= wire_nl0ii_dataout[1];
6054
                        nl1ll <= wire_nl0ii_dataout[2];
6055
                        nl1lO <= wire_nl0ii_dataout[3];
6056
                        nl1Oi <= wire_nl0ii_dataout[4];
6057
                        nl1Ol <= wire_nl0ii_dataout[5];
6058
                        nl1OO <= wire_nl0ii_dataout[6];
6059
                end
6060
        end
6061
        assign
6062
                wire_nl01i_CLRN = ((nlii01l18 ^ nlii01l17) & (~ n0i0l));
6063
        initial
6064
        begin
6065 9 jefflieu
                nl00ll = 0;
6066
                nl00Oi = 0;
6067
                nl00Ol = 0;
6068
                nl00OO = 0;
6069
                nl0i1i = 0;
6070
                nl0i1O = 0;
6071
        end
6072
        always @ ( posedge clk or  posedge reset)
6073
        begin
6074
                if (reset == 1'b1)
6075
                begin
6076
                        nl00ll <= 0;
6077
                        nl00Oi <= 0;
6078
                        nl00Ol <= 0;
6079
                        nl00OO <= 0;
6080
                        nl0i1i <= 0;
6081
                        nl0i1O <= 0;
6082
                end
6083 20 jefflieu
                else if  (nli1lli == 1'b1)
6084 9 jefflieu
                begin
6085 20 jefflieu
                        nl00ll <= nlO01i;
6086
                        nl00Oi <= nlO01l;
6087
                        nl00Ol <= nlO01O;
6088
                        nl00OO <= nlO00i;
6089
                        nl0i1i <= nlO00l;
6090
                        nl0i1O <= nlO00O;
6091 9 jefflieu
                end
6092
        end
6093
        initial
6094
        begin
6095
                nl0i0i = 0;
6096
                nl0i0O = 0;
6097
                nl0iii = 0;
6098
                nl0iiO = 0;
6099
                nl0ilO = 0;
6100
                nl0iOl = 0;
6101
                nl0iOO = 0;
6102
                nl0l0i = 0;
6103
                nl0l0O = 0;
6104
                nl0l1O = 0;
6105
        end
6106
        always @ ( posedge clk or  posedge reset)
6107
        begin
6108
                if (reset == 1'b1)
6109
                begin
6110
                        nl0i0i <= 0;
6111
                        nl0i0O <= 0;
6112
                        nl0iii <= 0;
6113
                        nl0iiO <= 0;
6114
                        nl0ilO <= 0;
6115
                        nl0iOl <= 0;
6116
                        nl0iOO <= 0;
6117
                        nl0l0i <= 0;
6118
                        nl0l0O <= 0;
6119
                        nl0l1O <= 0;
6120
                end
6121 20 jefflieu
                else if  (nli1O1i == 1'b1)
6122 9 jefflieu
                begin
6123 20 jefflieu
                        nl0i0i <= nlO01l;
6124
                        nl0i0O <= nlO01O;
6125
                        nl0iii <= nlO00i;
6126
                        nl0iiO <= nlO00O;
6127
                        nl0ilO <= nlO0iO;
6128
                        nl0iOl <= nlO0ll;
6129
                        nl0iOO <= nlO0lO;
6130
                        nl0l0i <= nlO0OO;
6131
                        nl0l0O <= nlOi1i;
6132
                        nl0l1O <= nlO0Ol;
6133 9 jefflieu
                end
6134
        end
6135
        initial
6136
        begin
6137
                nl0iil = 0;
6138
                nl0ili = 0;
6139
                nl0ill = 0;
6140
                nl0iOi = 0;
6141
                nl0l1l = 0;
6142
        end
6143
        always @ ( posedge clk or  posedge reset)
6144
        begin
6145
                if (reset == 1'b1)
6146
                begin
6147
                        nl0iil <= 1;
6148
                        nl0ili <= 1;
6149
                        nl0ill <= 1;
6150
                        nl0iOi <= 1;
6151
                        nl0l1l <= 1;
6152
                end
6153 20 jefflieu
                else if  (nli1O1i == 1'b1)
6154 9 jefflieu
                begin
6155 20 jefflieu
                        nl0iil <= nlO00l;
6156
                        nl0ili <= nlO0ii;
6157
                        nl0ill <= nlO0il;
6158
                        nl0iOi <= nlO0li;
6159
                        nl0l1l <= nlO0Oi;
6160 9 jefflieu
                end
6161
        end
6162
        event nl0iil_event;
6163
        event nl0ili_event;
6164
        event nl0ill_event;
6165
        event nl0iOi_event;
6166
        event nl0l1l_event;
6167
        initial
6168
                #1 ->nl0iil_event;
6169
        initial
6170
                #1 ->nl0ili_event;
6171
        initial
6172
                #1 ->nl0ill_event;
6173
        initial
6174
                #1 ->nl0iOi_event;
6175
        initial
6176
                #1 ->nl0l1l_event;
6177
        always @(nl0iil_event)
6178
                nl0iil <= 1;
6179
        always @(nl0ili_event)
6180
                nl0ili <= 1;
6181
        always @(nl0ill_event)
6182
                nl0ill <= 1;
6183
        always @(nl0iOi_event)
6184
                nl0iOi <= 1;
6185
        always @(nl0l1l_event)
6186
                nl0l1l <= 1;
6187
        initial
6188
        begin
6189 20 jefflieu
                nl0li = 0;
6190
                nl0lO = 0;
6191
        end
6192
        always @ ( negedge reconfig_clk or  negedge wire_nl0ll_PRN)
6193
        begin
6194
                if (wire_nl0ll_PRN == 1'b0)
6195
                begin
6196
                        nl0li <= 1;
6197
                        nl0lO <= 1;
6198
                end
6199
                else
6200
                begin
6201
                        nl0li <= reconfig_togxb[3];
6202
                        nl0lO <= nl0li;
6203
                end
6204
        end
6205
        assign
6206
                wire_nl0ll_PRN = (nlii01O16 ^ nlii01O15);
6207
        initial
6208
        begin
6209 9 jefflieu
                nl0liO = 0;
6210
                nl0lll = 0;
6211
        end
6212 20 jefflieu
        always @ ( posedge clk or  posedge reset)
6213 9 jefflieu
        begin
6214 20 jefflieu
                if (reset == 1'b1)
6215 9 jefflieu
                begin
6216
                        nl0liO <= 0;
6217
                        nl0lll <= 0;
6218
                end
6219 20 jefflieu
                else if  (nli1lOl == 1'b1)
6220 9 jefflieu
                begin
6221 20 jefflieu
                        nl0liO <= nlO01O;
6222
                        nl0lll <= nlO00i;
6223 9 jefflieu
                end
6224
        end
6225
        initial
6226
        begin
6227
                nl0lii = 0;
6228
                nl0lil = 0;
6229
                nl0lOi = 0;
6230
        end
6231 20 jefflieu
        always @ (clk or wire_nl0llO_PRN or wire_nl0llO_CLRN)
6232 9 jefflieu
        begin
6233 20 jefflieu
                if (wire_nl0llO_PRN == 1'b0)
6234 9 jefflieu
                begin
6235
                        nl0lii <= 1;
6236
                        nl0lil <= 1;
6237
                        nl0lOi <= 1;
6238
                end
6239
                else if  (wire_nl0llO_CLRN == 1'b0)
6240
                begin
6241
                        nl0lii <= 0;
6242
                        nl0lil <= 0;
6243
                        nl0lOi <= 0;
6244
                end
6245 20 jefflieu
                else if  (nli1lOl == 1'b1)
6246 9 jefflieu
                if (clk != nl0llO_clk_prev && clk == 1'b1)
6247
                begin
6248 20 jefflieu
                        nl0lii <= nlO01i;
6249
                        nl0lil <= nlO01l;
6250
                        nl0lOi <= nlO00l;
6251 9 jefflieu
                end
6252
                nl0llO_clk_prev <= clk;
6253
        end
6254
        assign
6255 20 jefflieu
                wire_nl0llO_CLRN = (nli1lOi78 ^ nli1lOi77),
6256
                wire_nl0llO_PRN = ((nli1llO80 ^ nli1llO79) & (~ reset));
6257 9 jefflieu
        event nl0lii_event;
6258
        event nl0lil_event;
6259
        event nl0lOi_event;
6260
        initial
6261
                #1 ->nl0lii_event;
6262
        initial
6263
                #1 ->nl0lil_event;
6264
        initial
6265
                #1 ->nl0lOi_event;
6266
        always @(nl0lii_event)
6267
                nl0lii <= 1;
6268
        always @(nl0lil_event)
6269
                nl0lil <= 1;
6270
        always @(nl0lOi_event)
6271
                nl0lOi <= 1;
6272
        initial
6273
        begin
6274
                nl111i = 0;
6275
                nl1i0l = 0;
6276
                nl1i0O = 0;
6277
                nl1iii = 0;
6278
                nl1iil = 0;
6279
                nl1iiO = 0;
6280
                nl1ili = 0;
6281
                nl1ill = 0;
6282
                nl1ilO = 0;
6283
                nl1iOi = 0;
6284
                nl1iOl = 0;
6285
                nl1iOO = 0;
6286
                nl1l0l = 0;
6287
                nl1l1i = 0;
6288
                nl1l1l = 0;
6289
                nl1l1O = 0;
6290
        end
6291 20 jefflieu
        always @ ( posedge wire_nl0ii_clkout or  posedge nlili1O)
6292 9 jefflieu
        begin
6293 20 jefflieu
                if (nlili1O == 1'b1)
6294 9 jefflieu
                begin
6295
                        nl111i <= 0;
6296
                        nl1i0l <= 0;
6297
                        nl1i0O <= 0;
6298
                        nl1iii <= 0;
6299
                        nl1iil <= 0;
6300
                        nl1iiO <= 0;
6301
                        nl1ili <= 0;
6302
                        nl1ill <= 0;
6303
                        nl1ilO <= 0;
6304
                        nl1iOi <= 0;
6305
                        nl1iOl <= 0;
6306
                        nl1iOO <= 0;
6307
                        nl1l0l <= 0;
6308
                        nl1l1i <= 0;
6309
                        nl1l1l <= 0;
6310
                        nl1l1O <= 0;
6311
                end
6312 20 jefflieu
                else if  (nlli1O == 1'b1)
6313 9 jefflieu
                begin
6314
                        nl111i <= wire_nl1lii_dataout;
6315
                        nl1i0l <= wire_nl1lil_dataout;
6316
                        nl1i0O <= wire_nl1liO_dataout;
6317
                        nl1iii <= wire_nl1lli_dataout;
6318
                        nl1iil <= wire_nl1lll_dataout;
6319
                        nl1iiO <= wire_nl1llO_dataout;
6320
                        nl1ili <= wire_nl1lOi_dataout;
6321
                        nl1ill <= wire_nl1lOl_dataout;
6322
                        nl1ilO <= wire_nl1lOO_dataout;
6323
                        nl1iOi <= wire_nl1O1i_dataout;
6324
                        nl1iOl <= wire_nl1O1l_dataout;
6325
                        nl1iOO <= wire_nl1O1O_dataout;
6326
                        nl1l0l <= wire_nl1Oii_dataout;
6327
                        nl1l1i <= wire_nl1O0i_dataout;
6328
                        nl1l1l <= wire_nl1O0l_dataout;
6329
                        nl1l1O <= wire_nl1O0O_dataout;
6330
                end
6331
        end
6332
        initial
6333
        begin
6334 20 jefflieu
                nli0O = 0;
6335 9 jefflieu
        end
6336 20 jefflieu
        always @ (clk or wire_nli0l_PRN or wire_nli0l_CLRN)
6337 9 jefflieu
        begin
6338 20 jefflieu
                if (wire_nli0l_PRN == 1'b0)
6339 9 jefflieu
                begin
6340 20 jefflieu
                        nli0O <= 1;
6341 9 jefflieu
                end
6342 20 jefflieu
                else if  (wire_nli0l_CLRN == 1'b0)
6343
                begin
6344
                        nli0O <= 0;
6345
                end
6346 9 jefflieu
                else
6347 20 jefflieu
                if (clk != nli0l_clk_prev && clk == 1'b1)
6348 9 jefflieu
                begin
6349 20 jefflieu
                        nli0O <= nlii0iO;
6350 9 jefflieu
                end
6351 20 jefflieu
                nli0l_clk_prev <= clk;
6352 9 jefflieu
        end
6353
        assign
6354 20 jefflieu
                wire_nli0l_CLRN = (nlii0il10 ^ nlii0il9),
6355
                wire_nli0l_PRN = ((nlii0ii12 ^ nlii0ii11) & (~ n110l));
6356
        event nli0O_event;
6357 9 jefflieu
        initial
6358 20 jefflieu
                #1 ->nli0O_event;
6359
        always @(nli0O_event)
6360
                nli0O <= 1;
6361
        initial
6362 9 jefflieu
        begin
6363
                nl0lOl = 0;
6364
                nl0O0i = 0;
6365
                nl0O0l = 0;
6366
                nl0O0O = 0;
6367
                nl0O1l = 0;
6368
                nl0O1O = 0;
6369
                nl0Oii = 0;
6370
                nl0Oil = 0;
6371
                nl0OiO = 0;
6372
                nl0Oli = 0;
6373
                nl0Oll = 0;
6374
                nl0OlO = 0;
6375
                nl0OOi = 0;
6376
                nl0OOl = 0;
6377
                nl0OOO = 0;
6378
                nli11l = 0;
6379
        end
6380 20 jefflieu
        always @ ( posedge clk or  posedge reset)
6381 9 jefflieu
        begin
6382 20 jefflieu
                if (reset == 1'b1)
6383 9 jefflieu
                begin
6384
                        nl0lOl <= 0;
6385
                        nl0O0i <= 0;
6386
                        nl0O0l <= 0;
6387
                        nl0O0O <= 0;
6388
                        nl0O1l <= 0;
6389
                        nl0O1O <= 0;
6390
                        nl0Oii <= 0;
6391
                        nl0Oil <= 0;
6392
                        nl0OiO <= 0;
6393
                        nl0Oli <= 0;
6394
                        nl0Oll <= 0;
6395
                        nl0OlO <= 0;
6396
                        nl0OOi <= 0;
6397
                        nl0OOl <= 0;
6398
                        nl0OOO <= 0;
6399
                        nli11l <= 0;
6400
                end
6401 20 jefflieu
                else if  (nli1O1O == 1'b1)
6402 9 jefflieu
                begin
6403 20 jefflieu
                        nl0lOl <= nlO01i;
6404
                        nl0O0i <= nlO00i;
6405
                        nl0O0l <= nlO00l;
6406
                        nl0O0O <= nlO00O;
6407
                        nl0O1l <= nlO01l;
6408
                        nl0O1O <= nlO01O;
6409
                        nl0Oii <= nlO0ii;
6410
                        nl0Oil <= nlO0il;
6411
                        nl0OiO <= nlO0iO;
6412
                        nl0Oli <= nlO0li;
6413
                        nl0Oll <= nlO0ll;
6414
                        nl0OlO <= nlO0lO;
6415
                        nl0OOi <= nlO0Oi;
6416
                        nl0OOl <= nlO0Ol;
6417
                        nl0OOO <= nlO0OO;
6418
                        nli11l <= nlOi1i;
6419 9 jefflieu
                end
6420
        end
6421
        initial
6422
        begin
6423
                nli00i = 0;
6424
                nli00l = 0;
6425
                nli00O = 0;
6426
                nli01O = 0;
6427
                nli0ii = 0;
6428
                nli0il = 0;
6429
                nli0iO = 0;
6430
                nli0li = 0;
6431
                nli0ll = 0;
6432
                nli0lO = 0;
6433
                nli0Oi = 0;
6434
                nli0Ol = 0;
6435
                nli0OO = 0;
6436
                nli1OO = 0;
6437
                nlii1i = 0;
6438
                nlii1O = 0;
6439
        end
6440 20 jefflieu
        always @ ( posedge wire_nl0ii_clkout or  negedge wire_nlii1l_CLRN)
6441 9 jefflieu
        begin
6442 20 jefflieu
                if (wire_nlii1l_CLRN == 1'b0)
6443 9 jefflieu
                begin
6444
                        nli00i <= 0;
6445
                        nli00l <= 0;
6446
                        nli00O <= 0;
6447
                        nli01O <= 0;
6448
                        nli0ii <= 0;
6449
                        nli0il <= 0;
6450
                        nli0iO <= 0;
6451
                        nli0li <= 0;
6452
                        nli0ll <= 0;
6453
                        nli0lO <= 0;
6454
                        nli0Oi <= 0;
6455
                        nli0Ol <= 0;
6456
                        nli0OO <= 0;
6457
                        nli1OO <= 0;
6458
                        nlii1i <= 0;
6459
                        nlii1O <= 0;
6460
                end
6461 20 jefflieu
                else if  (nlOlOlO == 1'b1)
6462 9 jefflieu
                begin
6463 20 jefflieu
                        nli00i <= nlOO10l;
6464
                        nli00l <= nlOO10O;
6465
                        nli00O <= nlOO1ii;
6466
                        nli01O <= nlOO10i;
6467
                        nli0ii <= nlOO1il;
6468
                        nli0il <= nlOO1iO;
6469
                        nli0iO <= nlOO1li;
6470
                        nli0li <= nlOO1ll;
6471
                        nli0ll <= nlOO1lO;
6472
                        nli0lO <= nlOO1Oi;
6473
                        nli0Oi <= nlOO1Ol;
6474
                        nli0Ol <= nlOO1OO;
6475
                        nli0OO <= nlOO01i;
6476
                        nli1OO <= nlOO11l;
6477
                        nlii1i <= nlOO01l;
6478
                        nlii1O <= nlOO01O;
6479 9 jefflieu
                end
6480
        end
6481 20 jefflieu
        assign
6482
                wire_nlii1l_CLRN = ((nli1O0O76 ^ nli1O0O75) & (~ nlili1O));
6483 9 jefflieu
        initial
6484
        begin
6485 20 jefflieu
                nliii0O = 0;
6486
                nliiiil = 0;
6487 9 jefflieu
        end
6488 20 jefflieu
        always @ ( posedge wire_nl00l_clkout or  posedge nli0iil)
6489 9 jefflieu
        begin
6490 20 jefflieu
                if (nli0iil == 1'b1)
6491 9 jefflieu
                begin
6492 20 jefflieu
                        nliii0O <= 1;
6493
                        nliiiil <= 1;
6494 9 jefflieu
                end
6495
                else
6496
                begin
6497 20 jefflieu
                        nliii0O <= nliiiil;
6498
                        nliiiil <= nlii0iO;
6499 9 jefflieu
                end
6500
        end
6501 20 jefflieu
        event nliii0O_event;
6502
        event nliiiil_event;
6503 9 jefflieu
        initial
6504 20 jefflieu
                #1 ->nliii0O_event;
6505 9 jefflieu
        initial
6506 20 jefflieu
                #1 ->nliiiil_event;
6507
        always @(nliii0O_event)
6508
                nliii0O <= 1;
6509
        always @(nliiiil_event)
6510
                nliiiil <= 1;
6511 9 jefflieu
        initial
6512
        begin
6513 20 jefflieu
                nliiiiO = 0;
6514
                nliiill = 0;
6515 9 jefflieu
        end
6516 20 jefflieu
        always @ ( posedge wire_nl00l_clkout or  posedge nli0i1l)
6517 9 jefflieu
        begin
6518 20 jefflieu
                if (nli0i1l == 1'b1)
6519 9 jefflieu
                begin
6520 20 jefflieu
                        nliiiiO <= 1;
6521
                        nliiill <= 1;
6522 9 jefflieu
                end
6523
                else
6524
                begin
6525 20 jefflieu
                        nliiiiO <= nliiill;
6526
                        nliiill <= nlii0iO;
6527 9 jefflieu
                end
6528
        end
6529 20 jefflieu
        event nliiiiO_event;
6530
        event nliiill_event;
6531 9 jefflieu
        initial
6532 20 jefflieu
                #1 ->nliiiiO_event;
6533 9 jefflieu
        initial
6534 20 jefflieu
                #1 ->nliiill_event;
6535
        always @(nliiiiO_event)
6536
                nliiiiO <= 1;
6537
        always @(nliiill_event)
6538
                nliiill <= 1;
6539 9 jefflieu
        initial
6540
        begin
6541 20 jefflieu
                nli0i = 0;
6542
                nlili = 0;
6543
        end
6544
        always @ (clk or wire_nliiO_PRN or wire_nliiO_CLRN)
6545
        begin
6546
                if (wire_nliiO_PRN == 1'b0)
6547
                begin
6548
                        nli0i <= 1;
6549
                        nlili <= 1;
6550
                end
6551
                else if  (wire_nliiO_CLRN == 1'b0)
6552
                begin
6553
                        nli0i <= 0;
6554
                        nlili <= 0;
6555
                end
6556
                else
6557
                if (clk != nliiO_clk_prev && clk == 1'b1)
6558
                begin
6559
                        nli0i <= nlii0iO;
6560
                        nlili <= nli0i;
6561
                end
6562
                nliiO_clk_prev <= clk;
6563
        end
6564
        assign
6565
                wire_nliiO_CLRN = (nlii0Ol6 ^ nlii0Ol5),
6566
                wire_nliiO_PRN = ((nlii0Oi8 ^ nlii0Oi7) & (~ gxb_pwrdn_in));
6567
        event nli0i_event;
6568
        event nlili_event;
6569
        initial
6570
                #1 ->nli0i_event;
6571
        initial
6572
                #1 ->nlili_event;
6573
        always @(nli0i_event)
6574
                nli0i <= 1;
6575
        always @(nlili_event)
6576
                nlili <= 1;
6577
        initial
6578
        begin
6579 9 jefflieu
                nlii0i = 0;
6580
                nliilO = 0;
6581
                nliiOl = 0;
6582
        end
6583 20 jefflieu
        always @ ( posedge clk or  posedge reset)
6584 9 jefflieu
        begin
6585
                if (reset == 1'b1)
6586
                begin
6587
                        nlii0i <= 1;
6588
                        nliilO <= 1;
6589
                        nliiOl <= 1;
6590
                end
6591 20 jefflieu
                else if  (nli1OiO == 1'b1)
6592 9 jefflieu
                begin
6593 20 jefflieu
                        nlii0i <= nlO00O;
6594
                        nliilO <= nlO0il;
6595
                        nliiOl <= nlO0iO;
6596 9 jefflieu
                end
6597
        end
6598
        event nlii0i_event;
6599
        event nliilO_event;
6600
        event nliiOl_event;
6601
        initial
6602
                #1 ->nlii0i_event;
6603
        initial
6604
                #1 ->nliilO_event;
6605
        initial
6606
                #1 ->nliiOl_event;
6607
        always @(nlii0i_event)
6608
                nlii0i <= 1;
6609
        always @(nliilO_event)
6610
                nliilO <= 1;
6611
        always @(nliiOl_event)
6612
                nliiOl <= 1;
6613
        initial
6614
        begin
6615
                nliill = 0;
6616
                nliiOO = 0;
6617
                nlil1l = 0;
6618
        end
6619 20 jefflieu
        always @ (clk or wire_nlil1i_PRN or wire_nlil1i_CLRN)
6620 9 jefflieu
        begin
6621 20 jefflieu
                if (wire_nlil1i_PRN == 1'b0)
6622 9 jefflieu
                begin
6623 20 jefflieu
                        nliill <= 1;
6624
                        nliiOO <= 1;
6625
                        nlil1l <= 1;
6626
                end
6627
                else if  (wire_nlil1i_CLRN == 1'b0)
6628
                begin
6629 9 jefflieu
                        nliill <= 0;
6630
                        nliiOO <= 0;
6631
                        nlil1l <= 0;
6632
                end
6633 20 jefflieu
                else if  (nli1OiO == 1'b1)
6634
                if (clk != nlil1i_clk_prev && clk == 1'b1)
6635 9 jefflieu
                begin
6636 20 jefflieu
                        nliill <= nlO0ii;
6637
                        nliiOO <= nlO0Oi;
6638
                        nlil1l <= nlO0Ol;
6639 9 jefflieu
                end
6640 20 jefflieu
                nlil1i_clk_prev <= clk;
6641 9 jefflieu
        end
6642
        assign
6643 20 jefflieu
                wire_nlil1i_CLRN = ((nli1Oil72 ^ nli1Oil71) & (~ reset)),
6644
                wire_nlil1i_PRN = (nli1Oii74 ^ nli1Oii73);
6645 9 jefflieu
        initial
6646
        begin
6647 20 jefflieu
                nlili0l = 0;
6648
                nlili1O = 0;
6649 9 jefflieu
        end
6650 20 jefflieu
        always @ ( posedge wire_nl0ii_clkout or  posedge nlii0ll)
6651 9 jefflieu
        begin
6652 20 jefflieu
                if (nlii0ll == 1'b1)
6653 9 jefflieu
                begin
6654 20 jefflieu
                        nlili0l <= 1;
6655
                        nlili1O <= 1;
6656 9 jefflieu
                end
6657
                else
6658
                begin
6659 20 jefflieu
                        nlili0l <= nlii0iO;
6660
                        nlili1O <= nlili0l;
6661 9 jefflieu
                end
6662
        end
6663 20 jefflieu
        event nlili0l_event;
6664
        event nlili1O_event;
6665 9 jefflieu
        initial
6666 20 jefflieu
                #1 ->nlili0l_event;
6667 9 jefflieu
        initial
6668 20 jefflieu
                #1 ->nlili1O_event;
6669
        always @(nlili0l_event)
6670
                nlili0l <= 1;
6671
        always @(nlili1O_event)
6672
                nlili1O <= 1;
6673 9 jefflieu
        initial
6674
        begin
6675 20 jefflieu
                n010li = 0;
6676
                n010ll = 0;
6677 9 jefflieu
                n0110i = 0;
6678
                n0110l = 0;
6679
                n0110O = 0;
6680 20 jefflieu
                n0111i = 0;
6681
                n0111l = 0;
6682
                n0111O = 0;
6683 9 jefflieu
                n011ii = 0;
6684 20 jefflieu
                n01i0i = 0;
6685 9 jefflieu
                n01i0l = 0;
6686 20 jefflieu
                n01i1i = 0;
6687
                n01i1O = 0;
6688
                n1i01i = 0;
6689
                n1i01l = 0;
6690
                n1i01O = 0;
6691
                n1i0il = 0;
6692
                n1i0li = 0;
6693
                n1OOiO = 0;
6694
                n1OOli = 0;
6695
                n1OOll = 0;
6696
                n1OOlO = 0;
6697
                n1OOOi = 0;
6698
                n1OOOl = 0;
6699
                n1OOOO = 0;
6700 9 jefflieu
                nili1l = 0;
6701
                nili1O = 0;
6702
                niliil = 0;
6703
                nilill = 0;
6704
                nililO = 0;
6705
                niliOi = 0;
6706
                niliOl = 0;
6707
                niliOO = 0;
6708
                nill0i = 0;
6709
                nill1i = 0;
6710
                nill1l = 0;
6711
                nill1O = 0;
6712
                niO00l = 0;
6713
                niO01i = 0;
6714
                niO01O = 0;
6715
                niO0OO = 0;
6716
                niO1ii = 0;
6717
                niO1il = 0;
6718
                niO1lO = 0;
6719
                niO1Ol = 0;
6720
                niOi0i = 0;
6721
                niOi1l = 0;
6722
                niOi1O = 0;
6723
                niOiiO = 0;
6724
                niOill = 0;
6725
                niOiOi = 0;
6726
                niOiOl = 0;
6727
                niOiOO = 0;
6728
                niOl1i = 0;
6729
                niOl1l = 0;
6730 20 jefflieu
                nliil1i = 0;
6731
                nliilii = 0;
6732
                nliilil = 0;
6733 9 jefflieu
                nliiliO = 0;
6734 20 jefflieu
                nliilli = 0;
6735
                nliilll = 0;
6736
                nliillO = 0;
6737
                nliilOi = 0;
6738
                nliilOl = 0;
6739 9 jefflieu
                nliilOO = 0;
6740 20 jefflieu
                nliiOOi = 0;
6741
                nll0iO = 0;
6742
                nll0li = 0;
6743
                nll0ll = 0;
6744
                nll0Oi = 0;
6745 9 jefflieu
        end
6746 20 jefflieu
        always @ ( posedge wire_nl00l_clkout or  negedge wire_nll0lO_CLRN)
6747 9 jefflieu
        begin
6748 20 jefflieu
                if (wire_nll0lO_CLRN == 1'b0)
6749 9 jefflieu
                begin
6750 20 jefflieu
                        n010li <= 0;
6751
                        n010ll <= 0;
6752 9 jefflieu
                        n0110i <= 0;
6753
                        n0110l <= 0;
6754
                        n0110O <= 0;
6755 20 jefflieu
                        n0111i <= 0;
6756
                        n0111l <= 0;
6757
                        n0111O <= 0;
6758 9 jefflieu
                        n011ii <= 0;
6759 20 jefflieu
                        n01i0i <= 0;
6760 9 jefflieu
                        n01i0l <= 0;
6761 20 jefflieu
                        n01i1i <= 0;
6762
                        n01i1O <= 0;
6763
                        n1i01i <= 0;
6764
                        n1i01l <= 0;
6765
                        n1i01O <= 0;
6766
                        n1i0il <= 0;
6767
                        n1i0li <= 0;
6768
                        n1OOiO <= 0;
6769
                        n1OOli <= 0;
6770
                        n1OOll <= 0;
6771
                        n1OOlO <= 0;
6772
                        n1OOOi <= 0;
6773
                        n1OOOl <= 0;
6774
                        n1OOOO <= 0;
6775 9 jefflieu
                        nili1l <= 0;
6776
                        nili1O <= 0;
6777
                        niliil <= 0;
6778
                        nilill <= 0;
6779
                        nililO <= 0;
6780
                        niliOi <= 0;
6781
                        niliOl <= 0;
6782
                        niliOO <= 0;
6783
                        nill0i <= 0;
6784
                        nill1i <= 0;
6785
                        nill1l <= 0;
6786
                        nill1O <= 0;
6787
                        niO00l <= 0;
6788
                        niO01i <= 0;
6789
                        niO01O <= 0;
6790
                        niO0OO <= 0;
6791
                        niO1ii <= 0;
6792
                        niO1il <= 0;
6793
                        niO1lO <= 0;
6794
                        niO1Ol <= 0;
6795
                        niOi0i <= 0;
6796
                        niOi1l <= 0;
6797
                        niOi1O <= 0;
6798
                        niOiiO <= 0;
6799
                        niOill <= 0;
6800
                        niOiOi <= 0;
6801
                        niOiOl <= 0;
6802
                        niOiOO <= 0;
6803
                        niOl1i <= 0;
6804
                        niOl1l <= 0;
6805 20 jefflieu
                        nliil1i <= 0;
6806
                        nliilii <= 0;
6807
                        nliilil <= 0;
6808 9 jefflieu
                        nliiliO <= 0;
6809 20 jefflieu
                        nliilli <= 0;
6810
                        nliilll <= 0;
6811
                        nliillO <= 0;
6812
                        nliilOi <= 0;
6813
                        nliilOl <= 0;
6814 9 jefflieu
                        nliilOO <= 0;
6815 20 jefflieu
                        nliiOOi <= 0;
6816
                        nll0iO <= 0;
6817
                        nll0li <= 0;
6818
                        nll0ll <= 0;
6819
                        nll0Oi <= 0;
6820 9 jefflieu
                end
6821
                else
6822
                begin
6823 20 jefflieu
                        n010li <= wire_n01i1l_dataout;
6824
                        n010ll <= n010li;
6825 9 jefflieu
                        n0110i <= wire_n0100l_dataout;
6826
                        n0110l <= wire_n0100O_dataout;
6827
                        n0110O <= wire_n010ii_dataout;
6828 20 jefflieu
                        n0111i <= wire_n0101l_dataout;
6829
                        n0111l <= wire_n0101O_dataout;
6830
                        n0111O <= wire_n0100i_dataout;
6831 9 jefflieu
                        n011ii <= wire_n010il_dataout;
6832 20 jefflieu
                        n01i0i <= n01i0l;
6833
                        n01i0l <= nlOiilO;
6834
                        n01i1i <= n01i1O;
6835
                        n01i1O <= nlilOl;
6836
                        n1i01i <= wire_n1i1iO_dataout;
6837
                        n1i01l <= wire_n1i0lO_dataout;
6838
                        n1i01O <= wire_n1i0Oi_dataout;
6839
                        n1i0il <= wire_n1ii1O_dataout;
6840
                        n1i0li <= wire_n011iO_dataout;
6841
                        n1OOiO <= wire_n011li_dataout;
6842
                        n1OOli <= wire_n011ll_dataout;
6843
                        n1OOll <= wire_n011lO_dataout;
6844
                        n1OOlO <= wire_n011Oi_dataout;
6845
                        n1OOOi <= wire_n011Ol_dataout;
6846
                        n1OOOl <= wire_n011OO_dataout;
6847
                        n1OOOO <= wire_n0101i_dataout;
6848 9 jefflieu
                        nili1l <= (~ ((~ (niO01O ^ niO01i)) & (~ (niO00i ^ niO01l))));
6849
                        nili1O <= (~ ((~ (niO1lO ^ niO1il)) & (~ (niO1Oi ^ niO1ll))));
6850
                        niliil <= wire_nill0O_dataout;
6851
                        nilill <= wire_nillii_dataout;
6852
                        nililO <= wire_nillil_dataout;
6853
                        niliOi <= wire_nilliO_dataout;
6854
                        niliOl <= wire_nillli_dataout;
6855
                        niliOO <= wire_nillll_dataout;
6856
                        nill0i <= wire_nillOO_dataout;
6857
                        nill1i <= wire_nilllO_dataout;
6858
                        nill1l <= wire_nillOi_dataout;
6859
                        nill1O <= wire_nillOl_dataout;
6860
                        niO00l <= nl011O;
6861
                        niO01i <= niO01O;
6862
                        niO01O <= niO00l;
6863
                        niO0OO <= niOi1l;
6864
                        niO1ii <= wire_niO1iO_dataout;
6865
                        niO1il <= niO1lO;
6866
                        niO1lO <= niO1Ol;
6867
                        niO1Ol <= nl011O;
6868
                        niOi0i <= n0liOi;
6869
                        niOi1l <= nlilOl;
6870
                        niOi1O <= niOi0i;
6871
                        niOiiO <= wire_niOilO_dataout;
6872
                        niOill <= niOiOi;
6873
                        niOiOi <= nl011l;
6874
                        niOiOl <= niOiOO;
6875
                        niOiOO <= nlilOl;
6876
                        niOl1i <= niOl1l;
6877 20 jefflieu
                        niOl1l <= nlOl11i;
6878
                        nliil1i <= wire_nliiO1i_dataout;
6879
                        nliilii <= wire_nliiO1l_dataout;
6880
                        nliilil <= wire_nliiO1O_dataout;
6881
                        nliiliO <= wire_nliiO0i_dataout;
6882
                        nliilli <= wire_nliiO0l_dataout;
6883
                        nliilll <= wire_nliiO0O_dataout;
6884
                        nliillO <= wire_nliiOil_dataout;
6885
                        nliilOi <= wire_nliiOiO_dataout;
6886
                        nliilOl <= wire_nliiOli_dataout;
6887
                        nliilOO <= nliiOOi;
6888
                        nliiOOi <= nl011O;
6889
                        nll0iO <= nll0li;
6890
                        nll0li <= nll0ll;
6891
                        nll0ll <= (nlli1l & nll0Oi);
6892
                        nll0Oi <= nlli1l;
6893 9 jefflieu
                end
6894
        end
6895 20 jefflieu
        assign
6896
                wire_nll0lO_CLRN = ((nli011l64 ^ nli011l63) & (~ nlii0li));
6897 9 jefflieu
        initial
6898
        begin
6899 20 jefflieu
                nll10l = 0;
6900
        end
6901
        always @ (clk or wire_nll10i_PRN or wire_nll10i_CLRN)
6902
        begin
6903
                if (wire_nll10i_PRN == 1'b0)
6904
                begin
6905
                        nll10l <= 1;
6906
                end
6907
                else if  (wire_nll10i_CLRN == 1'b0)
6908
                begin
6909
                        nll10l <= 0;
6910
                end
6911
                else if  (nli1OOO == 1'b1)
6912
                if (clk != nll10i_clk_prev && clk == 1'b1)
6913
                begin
6914
                        nll10l <= nlO0Oi;
6915
                end
6916
                nll10i_clk_prev <= clk;
6917
        end
6918
        assign
6919
                wire_nll10i_CLRN = (nli1OOi68 ^ nli1OOi67),
6920
                wire_nll10i_PRN = ((nli1OlO70 ^ nli1OlO69) & (~ reset));
6921
        event nll10l_event;
6922
        initial
6923
                #1 ->nll10l_event;
6924
        always @(nll10l_event)
6925
                nll10l <= 1;
6926
        initial
6927
        begin
6928
                nliOiO = 0;
6929
                nll11l = 0;
6930
                nll11O = 0;
6931
                nll1ii = 0;
6932
        end
6933
        always @ (clk or wire_nll10O_PRN or reset)
6934
        begin
6935
                if (wire_nll10O_PRN == 1'b0)
6936
                begin
6937
                        nliOiO <= 1;
6938
                        nll11l <= 1;
6939
                        nll11O <= 1;
6940
                        nll1ii <= 1;
6941
                end
6942
                else if  (reset == 1'b1)
6943
                begin
6944
                        nliOiO <= 0;
6945
                        nll11l <= 0;
6946
                        nll11O <= 0;
6947
                        nll1ii <= 0;
6948
                end
6949
                else if  (nli1OOO == 1'b1)
6950
                if (clk != nll10O_clk_prev && clk == 1'b1)
6951
                begin
6952
                        nliOiO <= nlO00O;
6953
                        nll11l <= nlO0ll;
6954
                        nll11O <= nlO0lO;
6955
                        nll1ii <= nlO0OO;
6956
                end
6957
                nll10O_clk_prev <= clk;
6958
        end
6959
        assign
6960
                wire_nll10O_PRN = (nli1OOl66 ^ nli1OOl65);
6961
        initial
6962
        begin
6963 9 jefflieu
                n0O11l = 0;
6964
                n0Oi0i = 0;
6965
                n1010i = 0;
6966
                n1010l = 0;
6967
                n1010O = 0;
6968
                n1011i = 0;
6969
                n1011l = 0;
6970
                n1011O = 0;
6971
                n101ii = 0;
6972
                n101il = 0;
6973
                n101iO = 0;
6974
                n101li = 0;
6975 20 jefflieu
                n10l0i = 0;
6976
                n10l0l = 0;
6977
                n10l0O = 0;
6978
                n10lii = 0;
6979 9 jefflieu
                n10lil = 0;
6980
                n10liO = 0;
6981
                n10lli = 0;
6982
                n10lll = 0;
6983
                n10llO = 0;
6984
                n10lOi = 0;
6985
                n10lOl = 0;
6986
                n10lOO = 0;
6987 20 jefflieu
                n10OlO = 0;
6988
                n10OOi = 0;
6989
                n11OiO = 0;
6990
                n11Oli = 0;
6991
                n11Oll = 0;
6992
                n11OlO = 0;
6993 9 jefflieu
                n11OOi = 0;
6994
                n11OOl = 0;
6995
                n11OOO = 0;
6996
                n1i11l = 0;
6997
                nl011i = 0;
6998
                nl1l0O = 0;
6999
                nl1Oil = 0;
7000
                nl1OiO = 0;
7001
                nl1Oli = 0;
7002
                nl1Oll = 0;
7003
                nl1OlO = 0;
7004
                nl1OOi = 0;
7005
                nl1OOl = 0;
7006
                nl1OOO = 0;
7007
                nli1lO = 0;
7008
                nli1Oi = 0;
7009
                nli1Ol = 0;
7010 20 jefflieu
                nliOlOi = 0;
7011 9 jefflieu
                nliOO0l = 0;
7012 20 jefflieu
                nliOOiO = 0;
7013
                nliOOli = 0;
7014
                nliOOll = 0;
7015 9 jefflieu
                nliOOlO = 0;
7016 20 jefflieu
                nliOOOi = 0;
7017
                nliOOOl = 0;
7018
                nliOOOO = 0;
7019
                nll001i = 0;
7020
                nll001l = 0;
7021
                nll010l = 0;
7022
                nll010O = 0;
7023
                nll01il = 0;
7024 9 jefflieu
                nll01lO = 0;
7025
                nll01OO = 0;
7026 20 jefflieu
                nll0i0l = 0;
7027
                nll0i0O = 0;
7028
                nll0i1l = 0;
7029
                nll0ill = 0;
7030
                nll0ilO = 0;
7031
                nll0iOi = 0;
7032
                nll0iOl = 0;
7033 9 jefflieu
                nll0iOO = 0;
7034
                nll0l0i = 0;
7035
                nll0l0l = 0;
7036
                nll0l0O = 0;
7037
                nll0l1i = 0;
7038
                nll0l1l = 0;
7039
                nll0l1O = 0;
7040
                nll0lii = 0;
7041
                nll0lil = 0;
7042
                nll0liO = 0;
7043
                nll0lli = 0;
7044
                nll0lll = 0;
7045
                nll110i = 0;
7046
                nll110l = 0;
7047
                nll110O = 0;
7048
                nll111i = 0;
7049
                nll111l = 0;
7050
                nll111O = 0;
7051
                nll11ii = 0;
7052
                nll11il = 0;
7053
                nll11iO = 0;
7054
                nll11li = 0;
7055
                nll11ll = 0;
7056
                nll11lO = 0;
7057
                nll11Oi = 0;
7058
                nll11Ol = 0;
7059
                nll11OO = 0;
7060 20 jefflieu
                nlli0l = 0;
7061 9 jefflieu
                nlli1O = 0;
7062 20 jefflieu
                nlliii = 0;
7063
                nlliil = 0;
7064 9 jefflieu
                nllil0l = 0;
7065 20 jefflieu
                nllil0O = 0;
7066
                nllil1i = 0;
7067
                nllili = 0;
7068
                nllilii = 0;
7069
                nllilil = 0;
7070 9 jefflieu
                nlliliO = 0;
7071
                nllilli = 0;
7072
                nllilll = 0;
7073
                nllillO = 0;
7074
                nllilOi = 0;
7075
                nllilOl = 0;
7076
                nllilOO = 0;
7077
                nlliO0i = 0;
7078
                nlliO0l = 0;
7079
                nlliO0O = 0;
7080
                nlliO1i = 0;
7081
                nlliO1l = 0;
7082
                nlliO1O = 0;
7083
                nlliOii = 0;
7084
                nlliOil = 0;
7085
                nlliOiO = 0;
7086
                nlliOli = 0;
7087 20 jefflieu
                nllO1lO = 0;
7088
                nllO1Oi = 0;
7089
                nllO1Ol = 0;
7090
                nllOi0i = 0;
7091
                nllOi0l = 0;
7092
                nllOi1l = 0;
7093
                nllOi1O = 0;
7094
                nllOO0i = 0;
7095 9 jefflieu
                nllOO0l = 0;
7096
                nllOO0O = 0;
7097 20 jefflieu
                nllOO1i = 0;
7098
                nllOO1l = 0;
7099
                nllOO1O = 0;
7100 9 jefflieu
                nllOOii = 0;
7101
                nllOOil = 0;
7102
                nllOOiO = 0;
7103
                nllOOli = 0;
7104
                nllOOll = 0;
7105
                nllOOlO = 0;
7106
                nllOOOi = 0;
7107
                nllOOOl = 0;
7108
                nllOOOO = 0;
7109
                nlO101i = 0;
7110
                nlO101l = 0;
7111
                nlO110i = 0;
7112
                nlO110l = 0;
7113
                nlO110O = 0;
7114
                nlO111i = 0;
7115
                nlO111l = 0;
7116
                nlO111O = 0;
7117
                nlO11ii = 0;
7118
                nlO11il = 0;
7119
                nlO11iO = 0;
7120
                nlO11li = 0;
7121
                nlO11ll = 0;
7122
                nlO11lO = 0;
7123
                nlO11Oi = 0;
7124
                nlO11Ol = 0;
7125
                nlO11OO = 0;
7126
                nlOi01i = 0;
7127
                nlOi01O = 0;
7128 20 jefflieu
                nlOi1ll = 0;
7129
                nlOi1lO = 0;
7130
                nlOi1Oi = 0;
7131
                nlOi1Ol = 0;
7132 9 jefflieu
                nlOi1OO = 0;
7133 20 jefflieu
                nlOiilO = 0;
7134
                nlOiiOi = 0;
7135
                nlOil0l = 0;
7136
                nlOil0O = 0;
7137
                nlOilii = 0;
7138
                nlOilil = 0;
7139 9 jefflieu
                nlOiliO = 0;
7140
                nlOilli = 0;
7141
                nlOilll = 0;
7142
                nlOillO = 0;
7143
                nlOilOi = 0;
7144
                nlOilOl = 0;
7145
                nlOilOO = 0;
7146
                nlOiO0i = 0;
7147
                nlOiO0l = 0;
7148
                nlOiO0O = 0;
7149
                nlOiO1i = 0;
7150
                nlOiO1l = 0;
7151
                nlOiO1O = 0;
7152
                nlOiOii = 0;
7153
                nlOiOil = 0;
7154
                nlOiOiO = 0;
7155
                nlOiOli = 0;
7156
                nlOiOll = 0;
7157
                nlOiOlO = 0;
7158
                nlOiOOi = 0;
7159
                nlOiOOl = 0;
7160
                nlOiOOO = 0;
7161
                nlOl10i = 0;
7162
                nlOl11i = 0;
7163
                nlOl11l = 0;
7164
                nlOl11O = 0;
7165 20 jefflieu
                nlOlOlO = 0;
7166 9 jefflieu
                nlOO00i = 0;
7167
                nlOO01i = 0;
7168
                nlOO01l = 0;
7169
                nlOO01O = 0;
7170 20 jefflieu
                nlOO10i = 0;
7171
                nlOO10l = 0;
7172 9 jefflieu
                nlOO10O = 0;
7173 20 jefflieu
                nlOO11l = 0;
7174
                nlOO1ii = 0;
7175 9 jefflieu
                nlOO1il = 0;
7176
                nlOO1iO = 0;
7177
                nlOO1li = 0;
7178
                nlOO1ll = 0;
7179
                nlOO1lO = 0;
7180
                nlOO1Oi = 0;
7181
                nlOO1Ol = 0;
7182
                nlOO1OO = 0;
7183 20 jefflieu
                nlOOlOO = 0;
7184 9 jefflieu
        end
7185 20 jefflieu
        always @ (wire_nl0ii_clkout or wire_nlliiO_PRN or wire_nlliiO_CLRN)
7186 9 jefflieu
        begin
7187 20 jefflieu
                if (wire_nlliiO_PRN == 1'b0)
7188 9 jefflieu
                begin
7189 20 jefflieu
                        n0O11l <= 1;
7190
                        n0Oi0i <= 1;
7191
                        n1010i <= 1;
7192
                        n1010l <= 1;
7193
                        n1010O <= 1;
7194
                        n1011i <= 1;
7195
                        n1011l <= 1;
7196
                        n1011O <= 1;
7197
                        n101ii <= 1;
7198
                        n101il <= 1;
7199
                        n101iO <= 1;
7200
                        n101li <= 1;
7201
                        n10l0i <= 1;
7202
                        n10l0l <= 1;
7203
                        n10l0O <= 1;
7204
                        n10lii <= 1;
7205
                        n10lil <= 1;
7206
                        n10liO <= 1;
7207
                        n10lli <= 1;
7208
                        n10lll <= 1;
7209
                        n10llO <= 1;
7210
                        n10lOi <= 1;
7211
                        n10lOl <= 1;
7212
                        n10lOO <= 1;
7213
                        n10OlO <= 1;
7214
                        n10OOi <= 1;
7215
                        n11OiO <= 1;
7216
                        n11Oli <= 1;
7217
                        n11Oll <= 1;
7218
                        n11OlO <= 1;
7219
                        n11OOi <= 1;
7220
                        n11OOl <= 1;
7221
                        n11OOO <= 1;
7222
                        n1i11l <= 1;
7223
                        nl011i <= 1;
7224
                        nl1l0O <= 1;
7225
                        nl1Oil <= 1;
7226
                        nl1OiO <= 1;
7227
                        nl1Oli <= 1;
7228
                        nl1Oll <= 1;
7229
                        nl1OlO <= 1;
7230
                        nl1OOi <= 1;
7231
                        nl1OOl <= 1;
7232
                        nl1OOO <= 1;
7233
                        nli1lO <= 1;
7234
                        nli1Oi <= 1;
7235
                        nli1Ol <= 1;
7236
                        nliOlOi <= 1;
7237
                        nliOO0l <= 1;
7238
                        nliOOiO <= 1;
7239
                        nliOOli <= 1;
7240
                        nliOOll <= 1;
7241
                        nliOOlO <= 1;
7242
                        nliOOOi <= 1;
7243
                        nliOOOl <= 1;
7244
                        nliOOOO <= 1;
7245
                        nll001i <= 1;
7246
                        nll001l <= 1;
7247
                        nll010l <= 1;
7248
                        nll010O <= 1;
7249
                        nll01il <= 1;
7250
                        nll01lO <= 1;
7251
                        nll01OO <= 1;
7252
                        nll0i0l <= 1;
7253
                        nll0i0O <= 1;
7254
                        nll0i1l <= 1;
7255
                        nll0ill <= 1;
7256
                        nll0ilO <= 1;
7257
                        nll0iOi <= 1;
7258
                        nll0iOl <= 1;
7259
                        nll0iOO <= 1;
7260
                        nll0l0i <= 1;
7261
                        nll0l0l <= 1;
7262
                        nll0l0O <= 1;
7263
                        nll0l1i <= 1;
7264
                        nll0l1l <= 1;
7265
                        nll0l1O <= 1;
7266
                        nll0lii <= 1;
7267
                        nll0lil <= 1;
7268
                        nll0liO <= 1;
7269
                        nll0lli <= 1;
7270
                        nll0lll <= 1;
7271
                        nll110i <= 1;
7272
                        nll110l <= 1;
7273
                        nll110O <= 1;
7274
                        nll111i <= 1;
7275
                        nll111l <= 1;
7276
                        nll111O <= 1;
7277
                        nll11ii <= 1;
7278
                        nll11il <= 1;
7279
                        nll11iO <= 1;
7280
                        nll11li <= 1;
7281
                        nll11ll <= 1;
7282
                        nll11lO <= 1;
7283
                        nll11Oi <= 1;
7284
                        nll11Ol <= 1;
7285
                        nll11OO <= 1;
7286
                        nlli0l <= 1;
7287
                        nlli1O <= 1;
7288
                        nlliii <= 1;
7289
                        nlliil <= 1;
7290
                        nllil0l <= 1;
7291
                        nllil0O <= 1;
7292
                        nllil1i <= 1;
7293
                        nllili <= 1;
7294
                        nllilii <= 1;
7295
                        nllilil <= 1;
7296
                        nlliliO <= 1;
7297
                        nllilli <= 1;
7298
                        nllilll <= 1;
7299
                        nllillO <= 1;
7300
                        nllilOi <= 1;
7301
                        nllilOl <= 1;
7302
                        nllilOO <= 1;
7303
                        nlliO0i <= 1;
7304
                        nlliO0l <= 1;
7305
                        nlliO0O <= 1;
7306
                        nlliO1i <= 1;
7307
                        nlliO1l <= 1;
7308
                        nlliO1O <= 1;
7309
                        nlliOii <= 1;
7310
                        nlliOil <= 1;
7311
                        nlliOiO <= 1;
7312
                        nlliOli <= 1;
7313
                        nllO1lO <= 1;
7314
                        nllO1Oi <= 1;
7315
                        nllO1Ol <= 1;
7316
                        nllOi0i <= 1;
7317
                        nllOi0l <= 1;
7318
                        nllOi1l <= 1;
7319
                        nllOi1O <= 1;
7320
                        nllOO0i <= 1;
7321
                        nllOO0l <= 1;
7322
                        nllOO0O <= 1;
7323
                        nllOO1i <= 1;
7324
                        nllOO1l <= 1;
7325
                        nllOO1O <= 1;
7326
                        nllOOii <= 1;
7327
                        nllOOil <= 1;
7328
                        nllOOiO <= 1;
7329
                        nllOOli <= 1;
7330
                        nllOOll <= 1;
7331
                        nllOOlO <= 1;
7332
                        nllOOOi <= 1;
7333
                        nllOOOl <= 1;
7334
                        nllOOOO <= 1;
7335
                        nlO101i <= 1;
7336
                        nlO101l <= 1;
7337
                        nlO110i <= 1;
7338
                        nlO110l <= 1;
7339
                        nlO110O <= 1;
7340
                        nlO111i <= 1;
7341
                        nlO111l <= 1;
7342
                        nlO111O <= 1;
7343
                        nlO11ii <= 1;
7344
                        nlO11il <= 1;
7345
                        nlO11iO <= 1;
7346
                        nlO11li <= 1;
7347
                        nlO11ll <= 1;
7348
                        nlO11lO <= 1;
7349
                        nlO11Oi <= 1;
7350
                        nlO11Ol <= 1;
7351
                        nlO11OO <= 1;
7352
                        nlOi01i <= 1;
7353
                        nlOi01O <= 1;
7354
                        nlOi1ll <= 1;
7355
                        nlOi1lO <= 1;
7356
                        nlOi1Oi <= 1;
7357
                        nlOi1Ol <= 1;
7358
                        nlOi1OO <= 1;
7359
                        nlOiilO <= 1;
7360
                        nlOiiOi <= 1;
7361
                        nlOil0l <= 1;
7362
                        nlOil0O <= 1;
7363
                        nlOilii <= 1;
7364
                        nlOilil <= 1;
7365
                        nlOiliO <= 1;
7366
                        nlOilli <= 1;
7367
                        nlOilll <= 1;
7368
                        nlOillO <= 1;
7369
                        nlOilOi <= 1;
7370
                        nlOilOl <= 1;
7371
                        nlOilOO <= 1;
7372
                        nlOiO0i <= 1;
7373
                        nlOiO0l <= 1;
7374
                        nlOiO0O <= 1;
7375
                        nlOiO1i <= 1;
7376
                        nlOiO1l <= 1;
7377
                        nlOiO1O <= 1;
7378
                        nlOiOii <= 1;
7379
                        nlOiOil <= 1;
7380
                        nlOiOiO <= 1;
7381
                        nlOiOli <= 1;
7382
                        nlOiOll <= 1;
7383
                        nlOiOlO <= 1;
7384
                        nlOiOOi <= 1;
7385
                        nlOiOOl <= 1;
7386
                        nlOiOOO <= 1;
7387
                        nlOl10i <= 1;
7388
                        nlOl11i <= 1;
7389
                        nlOl11l <= 1;
7390
                        nlOl11O <= 1;
7391
                        nlOlOlO <= 1;
7392
                        nlOO00i <= 1;
7393
                        nlOO01i <= 1;
7394
                        nlOO01l <= 1;
7395
                        nlOO01O <= 1;
7396
                        nlOO10i <= 1;
7397
                        nlOO10l <= 1;
7398
                        nlOO10O <= 1;
7399
                        nlOO11l <= 1;
7400
                        nlOO1ii <= 1;
7401
                        nlOO1il <= 1;
7402
                        nlOO1iO <= 1;
7403
                        nlOO1li <= 1;
7404
                        nlOO1ll <= 1;
7405
                        nlOO1lO <= 1;
7406
                        nlOO1Oi <= 1;
7407
                        nlOO1Ol <= 1;
7408
                        nlOO1OO <= 1;
7409
                        nlOOlOO <= 1;
7410
                end
7411
                else if  (wire_nlliiO_CLRN == 1'b0)
7412
                begin
7413 9 jefflieu
                        n0O11l <= 0;
7414
                        n0Oi0i <= 0;
7415
                        n1010i <= 0;
7416
                        n1010l <= 0;
7417
                        n1010O <= 0;
7418
                        n1011i <= 0;
7419
                        n1011l <= 0;
7420
                        n1011O <= 0;
7421
                        n101ii <= 0;
7422
                        n101il <= 0;
7423
                        n101iO <= 0;
7424
                        n101li <= 0;
7425 20 jefflieu
                        n10l0i <= 0;
7426
                        n10l0l <= 0;
7427
                        n10l0O <= 0;
7428
                        n10lii <= 0;
7429 9 jefflieu
                        n10lil <= 0;
7430
                        n10liO <= 0;
7431
                        n10lli <= 0;
7432
                        n10lll <= 0;
7433
                        n10llO <= 0;
7434
                        n10lOi <= 0;
7435
                        n10lOl <= 0;
7436
                        n10lOO <= 0;
7437 20 jefflieu
                        n10OlO <= 0;
7438
                        n10OOi <= 0;
7439
                        n11OiO <= 0;
7440
                        n11Oli <= 0;
7441
                        n11Oll <= 0;
7442
                        n11OlO <= 0;
7443 9 jefflieu
                        n11OOi <= 0;
7444
                        n11OOl <= 0;
7445
                        n11OOO <= 0;
7446
                        n1i11l <= 0;
7447
                        nl011i <= 0;
7448
                        nl1l0O <= 0;
7449
                        nl1Oil <= 0;
7450
                        nl1OiO <= 0;
7451
                        nl1Oli <= 0;
7452
                        nl1Oll <= 0;
7453
                        nl1OlO <= 0;
7454
                        nl1OOi <= 0;
7455
                        nl1OOl <= 0;
7456
                        nl1OOO <= 0;
7457
                        nli1lO <= 0;
7458
                        nli1Oi <= 0;
7459
                        nli1Ol <= 0;
7460 20 jefflieu
                        nliOlOi <= 0;
7461 9 jefflieu
                        nliOO0l <= 0;
7462 20 jefflieu
                        nliOOiO <= 0;
7463
                        nliOOli <= 0;
7464
                        nliOOll <= 0;
7465 9 jefflieu
                        nliOOlO <= 0;
7466 20 jefflieu
                        nliOOOi <= 0;
7467
                        nliOOOl <= 0;
7468
                        nliOOOO <= 0;
7469
                        nll001i <= 0;
7470
                        nll001l <= 0;
7471
                        nll010l <= 0;
7472
                        nll010O <= 0;
7473
                        nll01il <= 0;
7474 9 jefflieu
                        nll01lO <= 0;
7475
                        nll01OO <= 0;
7476 20 jefflieu
                        nll0i0l <= 0;
7477
                        nll0i0O <= 0;
7478
                        nll0i1l <= 0;
7479
                        nll0ill <= 0;
7480
                        nll0ilO <= 0;
7481
                        nll0iOi <= 0;
7482
                        nll0iOl <= 0;
7483 9 jefflieu
                        nll0iOO <= 0;
7484
                        nll0l0i <= 0;
7485
                        nll0l0l <= 0;
7486
                        nll0l0O <= 0;
7487
                        nll0l1i <= 0;
7488
                        nll0l1l <= 0;
7489
                        nll0l1O <= 0;
7490
                        nll0lii <= 0;
7491
                        nll0lil <= 0;
7492
                        nll0liO <= 0;
7493
                        nll0lli <= 0;
7494
                        nll0lll <= 0;
7495
                        nll110i <= 0;
7496
                        nll110l <= 0;
7497
                        nll110O <= 0;
7498
                        nll111i <= 0;
7499
                        nll111l <= 0;
7500
                        nll111O <= 0;
7501
                        nll11ii <= 0;
7502
                        nll11il <= 0;
7503
                        nll11iO <= 0;
7504
                        nll11li <= 0;
7505
                        nll11ll <= 0;
7506
                        nll11lO <= 0;
7507
                        nll11Oi <= 0;
7508
                        nll11Ol <= 0;
7509
                        nll11OO <= 0;
7510 20 jefflieu
                        nlli0l <= 0;
7511 9 jefflieu
                        nlli1O <= 0;
7512 20 jefflieu
                        nlliii <= 0;
7513
                        nlliil <= 0;
7514 9 jefflieu
                        nllil0l <= 0;
7515 20 jefflieu
                        nllil0O <= 0;
7516
                        nllil1i <= 0;
7517
                        nllili <= 0;
7518
                        nllilii <= 0;
7519
                        nllilil <= 0;
7520 9 jefflieu
                        nlliliO <= 0;
7521
                        nllilli <= 0;
7522
                        nllilll <= 0;
7523
                        nllillO <= 0;
7524
                        nllilOi <= 0;
7525
                        nllilOl <= 0;
7526
                        nllilOO <= 0;
7527
                        nlliO0i <= 0;
7528
                        nlliO0l <= 0;
7529
                        nlliO0O <= 0;
7530
                        nlliO1i <= 0;
7531
                        nlliO1l <= 0;
7532
                        nlliO1O <= 0;
7533
                        nlliOii <= 0;
7534
                        nlliOil <= 0;
7535
                        nlliOiO <= 0;
7536
                        nlliOli <= 0;
7537 20 jefflieu
                        nllO1lO <= 0;
7538
                        nllO1Oi <= 0;
7539
                        nllO1Ol <= 0;
7540
                        nllOi0i <= 0;
7541
                        nllOi0l <= 0;
7542
                        nllOi1l <= 0;
7543
                        nllOi1O <= 0;
7544
                        nllOO0i <= 0;
7545 9 jefflieu
                        nllOO0l <= 0;
7546
                        nllOO0O <= 0;
7547 20 jefflieu
                        nllOO1i <= 0;
7548
                        nllOO1l <= 0;
7549
                        nllOO1O <= 0;
7550 9 jefflieu
                        nllOOii <= 0;
7551
                        nllOOil <= 0;
7552
                        nllOOiO <= 0;
7553
                        nllOOli <= 0;
7554
                        nllOOll <= 0;
7555
                        nllOOlO <= 0;
7556
                        nllOOOi <= 0;
7557
                        nllOOOl <= 0;
7558
                        nllOOOO <= 0;
7559
                        nlO101i <= 0;
7560
                        nlO101l <= 0;
7561
                        nlO110i <= 0;
7562
                        nlO110l <= 0;
7563
                        nlO110O <= 0;
7564
                        nlO111i <= 0;
7565
                        nlO111l <= 0;
7566
                        nlO111O <= 0;
7567
                        nlO11ii <= 0;
7568
                        nlO11il <= 0;
7569
                        nlO11iO <= 0;
7570
                        nlO11li <= 0;
7571
                        nlO11ll <= 0;
7572
                        nlO11lO <= 0;
7573
                        nlO11Oi <= 0;
7574
                        nlO11Ol <= 0;
7575
                        nlO11OO <= 0;
7576
                        nlOi01i <= 0;
7577
                        nlOi01O <= 0;
7578 20 jefflieu
                        nlOi1ll <= 0;
7579
                        nlOi1lO <= 0;
7580
                        nlOi1Oi <= 0;
7581
                        nlOi1Ol <= 0;
7582 9 jefflieu
                        nlOi1OO <= 0;
7583 20 jefflieu
                        nlOiilO <= 0;
7584
                        nlOiiOi <= 0;
7585
                        nlOil0l <= 0;
7586
                        nlOil0O <= 0;
7587
                        nlOilii <= 0;
7588
                        nlOilil <= 0;
7589 9 jefflieu
                        nlOiliO <= 0;
7590
                        nlOilli <= 0;
7591
                        nlOilll <= 0;
7592
                        nlOillO <= 0;
7593
                        nlOilOi <= 0;
7594
                        nlOilOl <= 0;
7595
                        nlOilOO <= 0;
7596
                        nlOiO0i <= 0;
7597
                        nlOiO0l <= 0;
7598
                        nlOiO0O <= 0;
7599
                        nlOiO1i <= 0;
7600
                        nlOiO1l <= 0;
7601
                        nlOiO1O <= 0;
7602
                        nlOiOii <= 0;
7603
                        nlOiOil <= 0;
7604
                        nlOiOiO <= 0;
7605
                        nlOiOli <= 0;
7606
                        nlOiOll <= 0;
7607
                        nlOiOlO <= 0;
7608
                        nlOiOOi <= 0;
7609
                        nlOiOOl <= 0;
7610
                        nlOiOOO <= 0;
7611
                        nlOl10i <= 0;
7612
                        nlOl11i <= 0;
7613
                        nlOl11l <= 0;
7614
                        nlOl11O <= 0;
7615 20 jefflieu
                        nlOlOlO <= 0;
7616 9 jefflieu
                        nlOO00i <= 0;
7617
                        nlOO01i <= 0;
7618
                        nlOO01l <= 0;
7619
                        nlOO01O <= 0;
7620 20 jefflieu
                        nlOO10i <= 0;
7621
                        nlOO10l <= 0;
7622 9 jefflieu
                        nlOO10O <= 0;
7623 20 jefflieu
                        nlOO11l <= 0;
7624
                        nlOO1ii <= 0;
7625 9 jefflieu
                        nlOO1il <= 0;
7626
                        nlOO1iO <= 0;
7627
                        nlOO1li <= 0;
7628
                        nlOO1ll <= 0;
7629
                        nlOO1lO <= 0;
7630
                        nlOO1Oi <= 0;
7631
                        nlOO1Ol <= 0;
7632
                        nlOO1OO <= 0;
7633 20 jefflieu
                        nlOOlOO <= 0;
7634 9 jefflieu
                end
7635
                else
7636 20 jefflieu
                if (wire_nl0ii_clkout != nlliiO_clk_prev && wire_nl0ii_clkout == 1'b1)
7637 9 jefflieu
                begin
7638 20 jefflieu
                        n0O11l <= (~ ((~ (n0Oi0i ^ wire_n01ilO_dout[0])) & (~ (n0Oiil ^ wire_n01ilO_dout[1]))));
7639
                        n0Oi0i <= wire_n01ilO_dout[0];
7640 9 jefflieu
                        n1010i <= wire_n100il_dataout;
7641
                        n1010l <= wire_n100iO_dataout;
7642
                        n1010O <= wire_n100li_dataout;
7643
                        n1011i <= wire_n1000l_dataout;
7644
                        n1011l <= wire_n1000O_dataout;
7645
                        n1011O <= wire_n100ii_dataout;
7646
                        n101ii <= wire_n100ll_dataout;
7647
                        n101il <= wire_n100lO_dataout;
7648
                        n101iO <= wire_n100Oi_dataout;
7649
                        n101li <= wire_n100Ol_dataout;
7650 20 jefflieu
                        n10l0i <= wire_n10O1i_dataout;
7651
                        n10l0l <= wire_n10O1l_dataout;
7652
                        n10l0O <= wire_n10O1O_dataout;
7653
                        n10lii <= wire_n10O0i_dataout;
7654 9 jefflieu
                        n10lil <= wire_n10O0l_dataout;
7655
                        n10liO <= wire_n10O0O_dataout;
7656
                        n10lli <= wire_n10Oii_dataout;
7657
                        n10lll <= wire_n10Oil_dataout;
7658
                        n10llO <= wire_n10OiO_dataout;
7659
                        n10lOi <= wire_n10Oli_dataout;
7660
                        n10lOl <= wire_n10Oll_dataout;
7661 20 jefflieu
                        n10lOO <= niO1i;
7662
                        n10OlO <= wire_n10OOl_dataout;
7663
                        n10OOi <= n1i11l;
7664
                        n11OiO <= wire_n101Oi_dataout;
7665
                        n11Oli <= wire_n101Ol_dataout;
7666
                        n11Oll <= wire_n101OO_dataout;
7667
                        n11OlO <= wire_n1001i_dataout;
7668 9 jefflieu
                        n11OOi <= wire_n1001l_dataout;
7669
                        n11OOl <= wire_n1001O_dataout;
7670
                        n11OOO <= wire_n1000i_dataout;
7671 20 jefflieu
                        n1i11l <= nlilOl;
7672
                        nl011i <= nlO1Ol;
7673 9 jefflieu
                        nl1l0O <= nl1OlO;
7674
                        nl1Oil <= nl1OOi;
7675
                        nl1OiO <= nl1OOl;
7676
                        nl1Oli <= nl1OOO;
7677
                        nl1Oll <= nl011i;
7678 20 jefflieu
                        nl1OlO <= nlO1li;
7679
                        nl1OOi <= nlO1ll;
7680
                        nl1OOl <= nlO1lO;
7681
                        nl1OOO <= nlO1Oi;
7682 9 jefflieu
                        nli1lO <= nli1Oi;
7683
                        nli1Oi <= nli10O;
7684
                        nli1Ol <= wire_nli01i_dataout;
7685 20 jefflieu
                        nliOlOi <= wire_nliOO0O_dataout;
7686
                        nliOO0l <= wire_nll101i_dataout;
7687
                        nliOOiO <= wire_nll01ii_dataout;
7688
                        nliOOli <= wire_nll101l_dataout;
7689
                        nliOOll <= wire_nll101O_dataout;
7690
                        nliOOlO <= wire_nll100i_dataout;
7691
                        nliOOOi <= wire_nll100l_dataout;
7692
                        nliOOOl <= wire_nll100O_dataout;
7693
                        nliOOOO <= wire_nll10ii_dataout;
7694
                        nll001i <= wire_nll000l_dataout;
7695
                        nll001l <= wire_nll0i1O_dataout;
7696
                        nll010l <= wire_nliOlOl_dataout;
7697
                        nll010O <= wire_nll01iO_dataout;
7698
                        nll01il <= wire_nll01Oi_dataout;
7699
                        nll01lO <= wire_nll001O_dataout;
7700
                        nll01OO <= wire_nll000i_dataout;
7701
                        nll0i0l <= wire_nll0iil_dataout;
7702
                        nll0i0O <= wire_nll0llO_dataout;
7703
                        nll0i1l <= wire_nll0iii_dataout;
7704
                        nll0ill <= wire_nll0lOi_dataout;
7705
                        nll0ilO <= wire_nll0lOl_dataout;
7706
                        nll0iOi <= wire_nll0lOO_dataout;
7707
                        nll0iOl <= wire_nll0O1i_dataout;
7708 9 jefflieu
                        nll0iOO <= wire_nll0O1l_dataout;
7709
                        nll0l0i <= wire_nll0O0O_dataout;
7710
                        nll0l0l <= wire_nll0Oii_dataout;
7711
                        nll0l0O <= wire_nll0Oil_dataout;
7712
                        nll0l1i <= wire_nll0O1O_dataout;
7713
                        nll0l1l <= wire_nll0O0i_dataout;
7714
                        nll0l1O <= wire_nll0O0l_dataout;
7715
                        nll0lii <= wire_nll0OiO_dataout;
7716
                        nll0lil <= wire_nll0Oli_dataout;
7717
                        nll0liO <= wire_nll0Oll_dataout;
7718
                        nll0lli <= wire_nll0OlO_dataout;
7719 20 jefflieu
                        nll0lll <= wire_nllil1l_dataout;
7720 9 jefflieu
                        nll110i <= wire_nll10ll_dataout;
7721
                        nll110l <= wire_nll10lO_dataout;
7722
                        nll110O <= wire_nll10Oi_dataout;
7723 20 jefflieu
                        nll111i <= wire_nll10il_dataout;
7724 9 jefflieu
                        nll111l <= wire_nll10iO_dataout;
7725
                        nll111O <= wire_nll10li_dataout;
7726
                        nll11ii <= wire_nll10Ol_dataout;
7727
                        nll11il <= wire_nll10OO_dataout;
7728
                        nll11iO <= wire_nll1i1i_dataout;
7729
                        nll11li <= wire_nll1i1l_dataout;
7730
                        nll11ll <= wire_nll1i1O_dataout;
7731
                        nll11lO <= wire_nll1i0i_dataout;
7732
                        nll11Oi <= wire_nll1i0l_dataout;
7733
                        nll11Ol <= wire_nll1i0O_dataout;
7734 20 jefflieu
                        nll11OO <= wire_nliOO0i_dataout;
7735
                        nlli0l <= nlliii;
7736
                        nlli1O <= (nlliil & (~ nlliii));
7737
                        nlliii <= nlliil;
7738
                        nlliil <= (nlliOl & nllili);
7739
                        nllil0l <= wire_nlliOlO_dataout;
7740
                        nllil0O <= wire_nlliOOi_dataout;
7741
                        nllil1i <= wire_nlliOll_dataout;
7742
                        nllili <= nlliOl;
7743
                        nllilii <= wire_nlliOOl_dataout;
7744
                        nllilil <= wire_nlliOOO_dataout;
7745 9 jefflieu
                        nlliliO <= wire_nlll11i_dataout;
7746
                        nllilli <= wire_nlll11l_dataout;
7747
                        nllilll <= wire_nlll11O_dataout;
7748
                        nllillO <= wire_nlll10i_dataout;
7749
                        nllilOi <= wire_nlll10l_dataout;
7750
                        nllilOl <= wire_nlll10O_dataout;
7751
                        nllilOO <= wire_nlll1ii_dataout;
7752
                        nlliO0i <= wire_nlll1ll_dataout;
7753
                        nlliO0l <= wire_nlll1lO_dataout;
7754
                        nlliO0O <= wire_nlll1Oi_dataout;
7755
                        nlliO1i <= wire_nlll1il_dataout;
7756
                        nlliO1l <= wire_nlll1iO_dataout;
7757
                        nlliO1O <= wire_nlll1li_dataout;
7758
                        nlliOii <= wire_nlll1Ol_dataout;
7759
                        nlliOil <= wire_nlll1OO_dataout;
7760
                        nlliOiO <= wire_nlll01i_dataout;
7761 20 jefflieu
                        nlliOli <= wire_nllO1OO_dataout;
7762
                        nllO1lO <= wire_nllO01i_dataout;
7763
                        nllO1Oi <= wire_nllO01l_dataout;
7764
                        nllO1Ol <= wire_nllOi0O_dataout;
7765
                        nllOi0i <= wire_nllOiiO_dataout;
7766
                        nllOi0l <= wire_nlO101O_dataout;
7767
                        nllOi1l <= wire_nllOiii_dataout;
7768
                        nllOi1O <= wire_nllOiil_dataout;
7769
                        nllOO0i <= wire_nlO10ii_dataout;
7770 9 jefflieu
                        nllOO0l <= wire_nlO10il_dataout;
7771
                        nllOO0O <= wire_nlO10iO_dataout;
7772 20 jefflieu
                        nllOO1i <= wire_nlO100i_dataout;
7773
                        nllOO1l <= wire_nlO100l_dataout;
7774
                        nllOO1O <= wire_nlO100O_dataout;
7775 9 jefflieu
                        nllOOii <= wire_nlO10li_dataout;
7776
                        nllOOil <= wire_nlO10ll_dataout;
7777
                        nllOOiO <= wire_nlO10lO_dataout;
7778
                        nllOOli <= wire_nlO10Oi_dataout;
7779
                        nllOOll <= wire_nlO10Ol_dataout;
7780
                        nllOOlO <= wire_nlO10OO_dataout;
7781
                        nllOOOi <= wire_nlO1i1i_dataout;
7782
                        nllOOOl <= wire_nlO1i1l_dataout;
7783
                        nllOOOO <= wire_nlO1i1O_dataout;
7784
                        nlO101i <= wire_nlO1l0i_dataout;
7785 20 jefflieu
                        nlO101l <= wire_nlOi00i_dataout;
7786 9 jefflieu
                        nlO110i <= wire_nlO1iii_dataout;
7787
                        nlO110l <= wire_nlO1iil_dataout;
7788
                        nlO110O <= wire_nlO1iiO_dataout;
7789
                        nlO111i <= wire_nlO1i0i_dataout;
7790
                        nlO111l <= wire_nlO1i0l_dataout;
7791
                        nlO111O <= wire_nlO1i0O_dataout;
7792
                        nlO11ii <= wire_nlO1ili_dataout;
7793
                        nlO11il <= wire_nlO1ill_dataout;
7794
                        nlO11iO <= wire_nlO1ilO_dataout;
7795
                        nlO11li <= wire_nlO1iOi_dataout;
7796
                        nlO11ll <= wire_nlO1iOl_dataout;
7797
                        nlO11lO <= wire_nlO1iOO_dataout;
7798
                        nlO11Oi <= wire_nlO1l1i_dataout;
7799
                        nlO11Ol <= wire_nlO1l1l_dataout;
7800
                        nlO11OO <= wire_nlO1l1O_dataout;
7801
                        nlOi01i <= wire_nlOi0li_dataout;
7802 20 jefflieu
                        nlOi01O <= wire_nlili0O_dout;
7803
                        nlOi1ll <= wire_nlOi00l_dataout;
7804
                        nlOi1lO <= wire_nlOi00O_dataout;
7805
                        nlOi1Oi <= wire_nlOi0ii_dataout;
7806
                        nlOi1Ol <= wire_nlOi0il_dataout;
7807 9 jefflieu
                        nlOi1OO <= wire_nlOi0iO_dataout;
7808 20 jefflieu
                        nlOiilO <= wire_nlOiiOl_dataout;
7809
                        nlOiiOi <= wire_nlOl10l_dataout;
7810
                        nlOil0l <= wire_nlOl10O_dataout;
7811
                        nlOil0O <= wire_nlOl1ii_dataout;
7812
                        nlOilii <= wire_nlOl1il_dataout;
7813
                        nlOilil <= wire_nlOl1iO_dataout;
7814 9 jefflieu
                        nlOiliO <= wire_nlOl1li_dataout;
7815
                        nlOilli <= wire_nlOl1ll_dataout;
7816
                        nlOilll <= wire_nlOl1lO_dataout;
7817
                        nlOillO <= wire_nlOl1Oi_dataout;
7818
                        nlOilOi <= wire_nlOl1Ol_dataout;
7819
                        nlOilOl <= wire_nlOl1OO_dataout;
7820
                        nlOilOO <= wire_nlOl01i_dataout;
7821
                        nlOiO0i <= wire_nlOl00l_dataout;
7822
                        nlOiO0l <= wire_nlOl00O_dataout;
7823
                        nlOiO0O <= wire_nlOl0ii_dataout;
7824
                        nlOiO1i <= wire_nlOl01l_dataout;
7825
                        nlOiO1l <= wire_nlOl01O_dataout;
7826
                        nlOiO1O <= wire_nlOl00i_dataout;
7827
                        nlOiOii <= wire_nlOl0il_dataout;
7828
                        nlOiOil <= wire_nlOl0iO_dataout;
7829
                        nlOiOiO <= wire_nlOl0li_dataout;
7830
                        nlOiOli <= wire_nlOl0ll_dataout;
7831
                        nlOiOll <= wire_nlOl0lO_dataout;
7832
                        nlOiOlO <= wire_nlOl0Oi_dataout;
7833
                        nlOiOOi <= wire_nlOl0Ol_dataout;
7834
                        nlOiOOl <= wire_nlOl0OO_dataout;
7835
                        nlOiOOO <= wire_nlOli1i_dataout;
7836 20 jefflieu
                        nlOl10i <= wire_nlOlOOi_dataout;
7837 9 jefflieu
                        nlOl11i <= wire_nlOli1l_dataout;
7838
                        nlOl11l <= wire_nlOli1O_dataout;
7839
                        nlOl11O <= wire_nlOli0i_dataout;
7840 20 jefflieu
                        nlOlOlO <= wire_nlOO11O_dataout;
7841
                        nlOO00i <= wire_nlOOO1i_dataout;
7842 9 jefflieu
                        nlOO01i <= wire_nlOOi1O_dataout;
7843
                        nlOO01l <= wire_nlOOi0i_dataout;
7844
                        nlOO01O <= wire_nlOOi0l_dataout;
7845 20 jefflieu
                        nlOO10i <= wire_nlOO00O_dataout;
7846
                        nlOO10l <= wire_nlOO0ii_dataout;
7847
                        nlOO10O <= wire_nlOO0il_dataout;
7848
                        nlOO11l <= wire_nlOO00l_dataout;
7849
                        nlOO1ii <= wire_nlOO0iO_dataout;
7850 9 jefflieu
                        nlOO1il <= wire_nlOO0li_dataout;
7851
                        nlOO1iO <= wire_nlOO0ll_dataout;
7852
                        nlOO1li <= wire_nlOO0lO_dataout;
7853
                        nlOO1ll <= wire_nlOO0Oi_dataout;
7854
                        nlOO1lO <= wire_nlOO0Ol_dataout;
7855
                        nlOO1Oi <= wire_nlOO0OO_dataout;
7856
                        nlOO1Ol <= wire_nlOOi1i_dataout;
7857
                        nlOO1OO <= wire_nlOOi1l_dataout;
7858 20 jefflieu
                        nlOOlOO <= wire_n101lO_dataout;
7859 9 jefflieu
                end
7860 20 jefflieu
                nlliiO_clk_prev <= wire_nl0ii_clkout;
7861 9 jefflieu
        end
7862
        assign
7863 20 jefflieu
                wire_nlliiO_CLRN = ((nli010i60 ^ nli010i59) & (~ nlili1O)),
7864
                wire_nlliiO_PRN = (nli011O62 ^ nli011O61);
7865 9 jefflieu
        initial
7866
        begin
7867
                niOO0i = 0;
7868
                niOO0l = 0;
7869
                niOO0O = 0;
7870
                niOO1i = 0;
7871
                niOO1l = 0;
7872
                niOO1O = 0;
7873
                niOOii = 0;
7874
                niOOil = 0;
7875
                niOOiO = 0;
7876
                niOOli = 0;
7877
                niOOll = 0;
7878
                niOOlO = 0;
7879
                niOOOi = 0;
7880
                niOOOl = 0;
7881
                niOOOO = 0;
7882
                nl010l = 0;
7883
                nl011l = 0;
7884
                nl011O = 0;
7885
                nl1i0i = 0;
7886
                nli10l = 0;
7887
                nli10O = 0;
7888
                nli11O = 0;
7889
                nli1ll = 0;
7890
                nlil0i = 0;
7891
                nlil0O = 0;
7892
                nlil1O = 0;
7893
                nlilii = 0;
7894
                nlilOl = 0;
7895
                nliO0l = 0;
7896
                nliO0O = 0;
7897
                nliOii = 0;
7898
                nliOil = 0;
7899 20 jefflieu
                nll00i = 0;
7900
                nll00l = 0;
7901
                nll01i = 0;
7902
                nll01l = 0;
7903
                nll01O = 0;
7904
                nll0Ol = 0;
7905 9 jefflieu
                nll11i = 0;
7906
                nll1il = 0;
7907
                nll1iO = 0;
7908 20 jefflieu
                nll1OO = 0;
7909
                nlli1i = 0;
7910
                nlli1l = 0;
7911
                nllill = 0;
7912 9 jefflieu
                nlliOi = 0;
7913
                nlliOl = 0;
7914
                nlliOO = 0;
7915
                nlll0i = 0;
7916 20 jefflieu
                nlll0l = 0;
7917
                nlll0O = 0;
7918
                nlllii = 0;
7919
                nlllil = 0;
7920
                nllliO = 0;
7921
                nlllli = 0;
7922
                nlllll = 0;
7923 9 jefflieu
                nllOil = 0;
7924
                nllOiO = 0;
7925
                nllOli = 0;
7926
                nllOll = 0;
7927
                nllOlO = 0;
7928
                nllOOi = 0;
7929
                nllOOl = 0;
7930
                nllOOO = 0;
7931
                nlO00i = 0;
7932
                nlO00l = 0;
7933
                nlO00O = 0;
7934
                nlO01i = 0;
7935
                nlO01l = 0;
7936
                nlO01O = 0;
7937
                nlO0ii = 0;
7938
                nlO0il = 0;
7939
                nlO0iO = 0;
7940
                nlO0li = 0;
7941 20 jefflieu
                nlO0ll = 0;
7942
                nlO0lO = 0;
7943
                nlO0Oi = 0;
7944
                nlO0Ol = 0;
7945
                nlO0OO = 0;
7946 9 jefflieu
                nlO10i = 0;
7947
                nlO10l = 0;
7948
                nlO10O = 0;
7949
                nlO11i = 0;
7950
                nlO11l = 0;
7951
                nlO11O = 0;
7952
                nlO1ii = 0;
7953
                nlO1il = 0;
7954
                nlO1iO = 0;
7955
                nlO1li = 0;
7956
                nlO1ll = 0;
7957
                nlO1lO = 0;
7958
                nlO1Oi = 0;
7959
                nlO1Ol = 0;
7960
                nlO1OO = 0;
7961 20 jefflieu
                nlOi1i = 0;
7962
                nlOi1l = 0;
7963 9 jefflieu
                nlOOii = 0;
7964 20 jefflieu
                nlOOil = 0;
7965
                nlOOiO = 0;
7966
                nlOOli = 0;
7967
                nlOOOi = 0;
7968 9 jefflieu
        end
7969 20 jefflieu
        always @ ( posedge clk or  negedge wire_nlOOlO_CLRN)
7970 9 jefflieu
        begin
7971 20 jefflieu
                if (wire_nlOOlO_CLRN == 1'b0)
7972 9 jefflieu
                begin
7973
                        niOO0i <= 0;
7974
                        niOO0l <= 0;
7975
                        niOO0O <= 0;
7976
                        niOO1i <= 0;
7977
                        niOO1l <= 0;
7978
                        niOO1O <= 0;
7979
                        niOOii <= 0;
7980
                        niOOil <= 0;
7981
                        niOOiO <= 0;
7982
                        niOOli <= 0;
7983
                        niOOll <= 0;
7984
                        niOOlO <= 0;
7985
                        niOOOi <= 0;
7986
                        niOOOl <= 0;
7987
                        niOOOO <= 0;
7988
                        nl010l <= 0;
7989
                        nl011l <= 0;
7990
                        nl011O <= 0;
7991
                        nl1i0i <= 0;
7992
                        nli10l <= 0;
7993
                        nli10O <= 0;
7994
                        nli11O <= 0;
7995
                        nli1ll <= 0;
7996
                        nlil0i <= 0;
7997
                        nlil0O <= 0;
7998
                        nlil1O <= 0;
7999
                        nlilii <= 0;
8000
                        nlilOl <= 0;
8001
                        nliO0l <= 0;
8002
                        nliO0O <= 0;
8003
                        nliOii <= 0;
8004
                        nliOil <= 0;
8005 20 jefflieu
                        nll00i <= 0;
8006
                        nll00l <= 0;
8007
                        nll01i <= 0;
8008
                        nll01l <= 0;
8009
                        nll01O <= 0;
8010
                        nll0Ol <= 0;
8011 9 jefflieu
                        nll11i <= 0;
8012
                        nll1il <= 0;
8013
                        nll1iO <= 0;
8014 20 jefflieu
                        nll1OO <= 0;
8015
                        nlli1i <= 0;
8016
                        nlli1l <= 0;
8017
                        nllill <= 0;
8018 9 jefflieu
                        nlliOi <= 0;
8019
                        nlliOl <= 0;
8020
                        nlliOO <= 0;
8021
                        nlll0i <= 0;
8022 20 jefflieu
                        nlll0l <= 0;
8023
                        nlll0O <= 0;
8024
                        nlllii <= 0;
8025
                        nlllil <= 0;
8026
                        nllliO <= 0;
8027
                        nlllli <= 0;
8028
                        nlllll <= 0;
8029 9 jefflieu
                        nllOil <= 0;
8030
                        nllOiO <= 0;
8031
                        nllOli <= 0;
8032
                        nllOll <= 0;
8033
                        nllOlO <= 0;
8034
                        nllOOi <= 0;
8035
                        nllOOl <= 0;
8036
                        nllOOO <= 0;
8037
                        nlO00i <= 0;
8038
                        nlO00l <= 0;
8039
                        nlO00O <= 0;
8040
                        nlO01i <= 0;
8041
                        nlO01l <= 0;
8042
                        nlO01O <= 0;
8043
                        nlO0ii <= 0;
8044
                        nlO0il <= 0;
8045
                        nlO0iO <= 0;
8046
                        nlO0li <= 0;
8047 20 jefflieu
                        nlO0ll <= 0;
8048
                        nlO0lO <= 0;
8049
                        nlO0Oi <= 0;
8050
                        nlO0Ol <= 0;
8051
                        nlO0OO <= 0;
8052 9 jefflieu
                        nlO10i <= 0;
8053
                        nlO10l <= 0;
8054
                        nlO10O <= 0;
8055
                        nlO11i <= 0;
8056
                        nlO11l <= 0;
8057
                        nlO11O <= 0;
8058
                        nlO1ii <= 0;
8059
                        nlO1il <= 0;
8060
                        nlO1iO <= 0;
8061
                        nlO1li <= 0;
8062
                        nlO1ll <= 0;
8063
                        nlO1lO <= 0;
8064
                        nlO1Oi <= 0;
8065
                        nlO1Ol <= 0;
8066
                        nlO1OO <= 0;
8067 20 jefflieu
                        nlOi1i <= 0;
8068
                        nlOi1l <= 0;
8069 9 jefflieu
                        nlOOii <= 0;
8070 20 jefflieu
                        nlOOil <= 0;
8071
                        nlOOiO <= 0;
8072
                        nlOOli <= 0;
8073
                        nlOOOi <= 0;
8074 9 jefflieu
                end
8075
                else
8076
                begin
8077
                        niOO0i <= wire_nl110O_dataout;
8078
                        niOO0l <= wire_nl11ii_dataout;
8079
                        niOO0O <= wire_nl11il_dataout;
8080
                        niOO1i <= wire_nl111O_dataout;
8081
                        niOO1l <= wire_nl110i_dataout;
8082
                        niOO1O <= wire_nl110l_dataout;
8083
                        niOOii <= wire_nl11iO_dataout;
8084
                        niOOil <= wire_nl11li_dataout;
8085
                        niOOiO <= wire_nl11ll_dataout;
8086
                        niOOli <= wire_nl11lO_dataout;
8087
                        niOOll <= wire_nl11Oi_dataout;
8088
                        niOOlO <= wire_nl11Ol_dataout;
8089
                        niOOOi <= wire_nl11OO_dataout;
8090
                        niOOOl <= wire_nl101i_dataout;
8091
                        niOOOO <= wire_nl101l_dataout;
8092
                        nl010l <= wire_nl00il_dataout;
8093
                        nl011l <= wire_nl010O_dataout;
8094
                        nl011O <= wire_nl01ii_dataout;
8095
                        nl1i0i <= wire_nl111l_dataout;
8096
                        nli10l <= wire_nli1ii_dataout;
8097
                        nli10O <= nli1ll;
8098
                        nli11O <= nli10O;
8099
                        nli1ll <= nli1Ol;
8100
                        nlil0i <= wire_nlilli_dataout;
8101 20 jefflieu
                        nlil0O <= ((~ nll1il) & (nll00i & nll10l));
8102
                        nlil1O <= nll01l;
8103 9 jefflieu
                        nlilii <= wire_nlilOO_dataout;
8104 20 jefflieu
                        nlilOl <= (nll1il | nll11l);
8105
                        nliO0l <= nll10l;
8106
                        nliO0O <= nll11O;
8107
                        nliOii <= nll11i;
8108
                        nliOil <= wire_nliOll_dataout;
8109
                        nll00i <= nll00l;
8110
                        nll00l <= nliOOiO;
8111
                        nll01i <= niO1i;
8112
                        nll01l <= nll01O;
8113
                        nll01O <= nll010O;
8114
                        nll0Ol <= nll0iO;
8115
                        nll11i <= wire_nll1li_dataout;
8116
                        nll1il <= wire_nll1lO_dataout;
8117
                        nll1iO <= nlO1iO;
8118
                        nll1OO <= nll01i;
8119
                        nlli1i <= wire_nlli0i_dataout;
8120
                        nlli1l <= wire_nlOi0i_o;
8121
                        nllill <= nlli0l;
8122
                        nlliOi <= wire_nlll1i_dataout;
8123
                        nlliOl <= wire_nlOi0O_o;
8124
                        nlliOO <= nli010l;
8125 9 jefflieu
                        nlll0i <= wire_nlllOi_dataout;
8126 20 jefflieu
                        nlll0l <= wire_nlllOl_dataout;
8127
                        nlll0O <= wire_nlllOO_dataout;
8128
                        nlllii <= wire_nllO1i_dataout;
8129
                        nlllil <= wire_nllO1l_dataout;
8130
                        nllliO <= wire_nllO1O_dataout;
8131
                        nlllli <= wire_nllO0i_dataout;
8132
                        nlllll <= wire_nllO0l_dataout;
8133
                        nllOil <= nl1i0i;
8134
                        nllOiO <= niOO1i;
8135
                        nllOli <= niOO1l;
8136
                        nllOll <= niOO1O;
8137
                        nllOlO <= niOO0i;
8138
                        nllOOi <= niOO0l;
8139
                        nllOOl <= niOO0O;
8140
                        nllOOO <= niOOii;
8141
                        nlO00i <= writedata[3];
8142
                        nlO00l <= writedata[4];
8143
                        nlO00O <= writedata[5];
8144
                        nlO01i <= writedata[0];
8145
                        nlO01l <= writedata[1];
8146
                        nlO01O <= writedata[2];
8147
                        nlO0ii <= writedata[6];
8148
                        nlO0il <= writedata[7];
8149
                        nlO0iO <= writedata[8];
8150
                        nlO0li <= writedata[9];
8151
                        nlO0ll <= writedata[10];
8152
                        nlO0lO <= writedata[11];
8153
                        nlO0Oi <= writedata[12];
8154
                        nlO0Ol <= writedata[13];
8155
                        nlO0OO <= writedata[14];
8156
                        nlO10i <= niOOll;
8157
                        nlO10l <= niOOlO;
8158
                        nlO10O <= niOOOi;
8159
                        nlO11i <= niOOil;
8160
                        nlO11l <= niOOiO;
8161
                        nlO11O <= niOOli;
8162
                        nlO1ii <= niOOOl;
8163
                        nlO1il <= niOOOO;
8164
                        nlO1iO <= wire_nlOiil_o;
8165
                        nlO1li <= address[0];
8166
                        nlO1ll <= address[1];
8167
                        nlO1lO <= address[2];
8168
                        nlO1Oi <= address[3];
8169
                        nlO1Ol <= address[4];
8170
                        nlO1OO <= wire_nlOi1O_dataout;
8171
                        nlOi1i <= writedata[15];
8172
                        nlOi1l <= wire_nlOi0i_o;
8173
                        nlOOii <= wire_nlOi0O_o;
8174
                        nlOOil <= nlOOli;
8175
                        nlOOiO <= wire_nlOiil_o;
8176
                        nlOOli <= wire_nlOili_o;
8177
                        nlOOOi <= wire_nlOOOl_dataout;
8178 9 jefflieu
                end
8179
        end
8180
        assign
8181 20 jefflieu
                wire_nlOOlO_CLRN = ((nli001O58 ^ nli001O57) & (~ reset));
8182
        and(wire_n0000i_dataout, wire_n000ll_dataout, nli100l);
8183
        and(wire_n0000l_dataout, wire_n000lO_dataout, nli100l);
8184
        and(wire_n0000O_dataout, wire_n000Oi_dataout, nli100l);
8185
        assign          wire_n0001i_dataout = (nli100i === 1'b1) ? wire_n00iiO_dataout : wire_n000il_dataout;
8186
        assign          wire_n0001l_dataout = (nli100i === 1'b1) ? wire_n00ili_dataout : wire_n000iO_dataout;
8187
        and(wire_n0001O_dataout, wire_n000li_dataout, nli100l);
8188
        assign          wire_n000i_dataout = (n01OO === 1'b1) ? wire_n00ii_o[1] : n00iO;
8189
        and(wire_n000ii_dataout, wire_n000Ol_dataout, nli100l);
8190
        and(wire_n000il_dataout, wire_n000OO_dataout, nli100l);
8191
        and(wire_n000iO_dataout, wire_n00i1i_dataout, nli100l);
8192
        assign          wire_n000l_dataout = (n01OO === 1'b1) ? wire_n00ii_o[2] : n01Ol;
8193 9 jefflieu
        and(wire_n000li_dataout, wire_n00i1l_o[0], ~(wire_n00i1O_o));
8194
        and(wire_n000ll_dataout, wire_n00i1l_o[1], ~(wire_n00i1O_o));
8195
        and(wire_n000lO_dataout, wire_n00i1l_o[2], ~(wire_n00i1O_o));
8196 20 jefflieu
        and(wire_n000O_dataout, wire_n00ii_o[3], n01OO);
8197 9 jefflieu
        and(wire_n000Oi_dataout, wire_n00i1l_o[3], ~(wire_n00i1O_o));
8198
        and(wire_n000Ol_dataout, wire_n00i1l_o[4], ~(wire_n00i1O_o));
8199
        and(wire_n000OO_dataout, wire_n00i1l_o[5], ~(wire_n00i1O_o));
8200 20 jefflieu
        assign          wire_n0011l_dataout = (nli100i === 1'b1) ? nli101l : wire_n0011O_dataout;
8201
        and(wire_n0011O_dataout, nli101O, nli100l);
8202
        and(wire_n001i_dataout, wire_n000i_dataout, ~(nli0i1i));
8203
        or(wire_n001l_dataout, wire_n000l_dataout, nli0i1i);
8204
        assign          wire_n001ll_dataout = (nli100i === 1'b1) ? wire_n00i0i_dataout : wire_n0001O_dataout;
8205
        assign          wire_n001lO_dataout = (nli100i === 1'b1) ? wire_n00i0l_dataout : wire_n0000i_dataout;
8206
        or(wire_n001O_dataout, wire_n000O_dataout, nli0i1i);
8207
        assign          wire_n001Oi_dataout = (nli100i === 1'b1) ? wire_n00i0O_dataout : wire_n0000l_dataout;
8208
        assign          wire_n001Ol_dataout = (nli100i === 1'b1) ? wire_n00iii_dataout : wire_n0000O_dataout;
8209
        assign          wire_n001OO_dataout = (nli100i === 1'b1) ? wire_n00iil_dataout : wire_n000ii_dataout;
8210
        and(wire_n00i0i_dataout, wire_n00i1l_o[0], ~(nli100O));
8211
        and(wire_n00i0l_dataout, wire_n00i1l_o[1], ~(nli100O));
8212
        and(wire_n00i0O_dataout, wire_n00i1l_o[2], ~(nli100O));
8213 9 jefflieu
        and(wire_n00i1i_dataout, wire_n00i1l_o[6], ~(wire_n00i1O_o));
8214 20 jefflieu
        and(wire_n00iii_dataout, wire_n00i1l_o[3], ~(nli100O));
8215
        and(wire_n00iil_dataout, wire_n00i1l_o[4], ~(nli100O));
8216
        and(wire_n00iiO_dataout, wire_n00i1l_o[5], ~(nli100O));
8217
        and(wire_n00ili_dataout, wire_n00i1l_o[6], ~(nli100O));
8218
        and(wire_n00l0i_dataout, (~ n01OiO), ~(nli10ii));
8219
        and(wire_n00l1O_dataout, n01OiO, ~(nli10ii));
8220
        and(wire_n00lii_dataout, wire_n00lli_dataout, ~((~ nli10lO)));
8221
        and(wire_n00lil_dataout, nli10li, ~((~ nli10lO)));
8222
        or(wire_n00liO_dataout, wire_n00lll_dataout, (~ nli10lO));
8223
        and(wire_n00lli_dataout, nli10iO, ~(nli10li));
8224
        and(wire_n00lll_dataout, (~ nli10iO), ~(nli10li));
8225
        or(wire_n00O1l_dataout, wire_n00O0i_o[0], nli10lO);
8226
        and(wire_n00O1O_dataout, wire_n00O0i_o[1], ~(nli10lO));
8227
        and(wire_n0100i_dataout, wire_n1OiiO_o, ~(wire_n1i10O_dout));
8228
        and(wire_n0100l_dataout, n0110l, ~(wire_n1i10O_dout));
8229
        and(wire_n0100O_dataout, wire_n1Oili_dataout, ~(wire_n1i10O_dout));
8230
        and(wire_n0101i_dataout, wire_n1Oi0O_o, ~(wire_n1i10O_dout));
8231
        and(wire_n0101l_dataout, wire_n1Oiil_dataout, ~(wire_n1i10O_dout));
8232
        and(wire_n0101O_dataout, n0111O, ~(wire_n1i10O_dout));
8233
        and(wire_n010i_dataout, wire_n01ii_dataout, ~(nli00OO));
8234
        and(wire_n010ii_dataout, wire_n1Oill_o, ~(wire_n1i10O_dout));
8235
        and(wire_n010il_dataout, wire_n1OiOl_o, ~(wire_n1i10O_dout));
8236
        or(wire_n010iO_dataout, wire_n1Ol1i_o, wire_n1i10O_dout);
8237
        or(wire_n010l_dataout, wire_n01il_dataout, nli00OO);
8238
        assign          wire_n010lO_dataout = (wire_n010Oi_o[1] === 1'b1) ? (~ ((~ n1i01i) & (~ n01i0i))) : (n1i01i | n01i0i);
8239
        or(wire_n010O_dataout, wire_n01iO_dataout, nli00OO);
8240
        and(wire_n011iO_dataout, n1OOiO, ~(wire_n1i10O_dout));
8241
        and(wire_n011li_dataout, n1OOli, ~(wire_n1i10O_dout));
8242
        and(wire_n011ll_dataout, n1OOll, ~(wire_n1i10O_dout));
8243
        and(wire_n011lO_dataout, wire_n1Oi0l_dataout, ~(wire_n1i10O_dout));
8244
        and(wire_n011Oi_dataout, n1OOOi, ~(wire_n1i10O_dout));
8245
        and(wire_n011Ol_dataout, n1OOOl, ~(wire_n1i10O_dout));
8246
        and(wire_n011OO_dataout, n1OOOO, ~(wire_n1i10O_dout));
8247
        and(wire_n01i1l_dataout, wire_n010lO_dataout, ~(n01i1i));
8248
        assign          wire_n01ii_dataout = (n011O === 1'b1) ? wire_n01li_o[1] : n01ll;
8249
        assign          wire_n01il_dataout = (n011O === 1'b1) ? wire_n01li_o[2] : n011l;
8250
        and(wire_n01iO_dataout, wire_n01li_o[3], n011O);
8251
        assign          wire_n01lii_dataout = (n00O0l === 1'b1) ? wire_n01lll_dataout : wire_n01liO_dataout;
8252
        assign          wire_n01lil_dataout = (n00O0l === 1'b1) ? wire_n01llO_dataout : wire_n01liO_dataout;
8253
        and(wire_n01liO_dataout, nli11Ol, n00O1i);
8254
        or(wire_n01lll_dataout, n01OOO, nli11Ol);
8255
        or(wire_n01llO_dataout, n01l0l, nli11Ol);
8256
        or(wire_n01Oli_dataout, (n00O1i & (~ nli11OO)), (n00O0O & (~ nli11OO)));
8257 9 jefflieu
        and(wire_n0i00i_dataout, wire_n0i0ii_o[1], wire_n0i0il_o);
8258
        and(wire_n0i00l_dataout, wire_n0i0ii_o[2], wire_n0i0il_o);
8259
        and(wire_n0i00O_dataout, wire_n0i0ii_o[3], wire_n0i0il_o);
8260
        and(wire_n0i01O_dataout, wire_n0i0ii_o[0], wire_n0i0il_o);
8261
        and(wire_n0iilO_dataout, wire_n0il1i_o[0], wire_n0il1l_o);
8262
        and(wire_n0iiOi_dataout, wire_n0il1i_o[1], wire_n0il1l_o);
8263
        and(wire_n0iiOl_dataout, wire_n0il1i_o[2], wire_n0il1l_o);
8264
        and(wire_n0iiOO_dataout, wire_n0il1i_o[3], wire_n0il1l_o);
8265
        and(wire_n0il0i_dataout, wire_n00OOO_q_b[1], n0iOii);
8266
        and(wire_n0il0l_dataout, wire_n00OOO_q_b[2], n0iOii);
8267
        and(wire_n0il0O_dataout, wire_n00OOO_q_b[3], n0iOii);
8268
        and(wire_n0il1O_dataout, wire_n00OOO_q_b[0], n0iOii);
8269
        and(wire_n0ilii_dataout, wire_n00OOO_q_b[4], n0iOii);
8270
        and(wire_n0ilil_dataout, wire_n00OOO_q_b[5], n0iOii);
8271
        and(wire_n0iliO_dataout, wire_n00OOO_q_b[6], n0iOii);
8272
        and(wire_n0illi_dataout, wire_n00OOO_q_b[7], n0iOii);
8273
        and(wire_n0illl_dataout, wire_n00OOO_q_b[8], n0iOii);
8274
        and(wire_n0illO_dataout, wire_n00OOO_q_b[9], n0iOii);
8275 20 jefflieu
        assign          wire_n0l0lO_dataout = (((n0lili & nli10Ol) & (~ ((~ wire_n0illl_dataout) & (n0iO0i & (~ n0l0ll))))) === 1'b1) ? n0Oi1i : wire_n0l0Oi_dataout;
8276
        and(wire_n0l0Oi_dataout, n0Oi1i, ((n0lili & (~ nli10Ol)) & (~ (n0iO0i & (~ wire_n0illl_dataout)))));
8277 9 jefflieu
        or(wire_n0lill_dataout, (~ n0iO0i), wire_n0lilO_o[1]);
8278
        and(wire_n0ll0i_dataout, n0ll1O, n0lO1i);
8279
        and(wire_n0ll0l_dataout, n0llll, n0lO1i);
8280
        and(wire_n0ll0O_dataout, n0lllO, n0lO1i);
8281
        and(wire_n0llii_dataout, n0llOi, n0lO1i);
8282
        and(wire_n0llil_dataout, n0llOl, n0lO1i);
8283
        and(wire_n0lliO_dataout, n0llOO, n0lO1i);
8284
        assign          wire_n0lO0i_dataout = (n0Oi1i === 1'b1) ? wire_n0iliO_dataout : wire_n0il0l_dataout;
8285
        assign          wire_n0lO0l_dataout = (n0Oi1i === 1'b1) ? wire_n0illi_dataout : wire_n0il0O_dataout;
8286
        assign          wire_n0lO1l_dataout = (n0Oi1i === 1'b1) ? wire_n0ilii_dataout : wire_n0il1O_dataout;
8287
        assign          wire_n0lO1O_dataout = (n0Oi1i === 1'b1) ? wire_n0ilil_dataout : wire_n0il0i_dataout;
8288 20 jefflieu
        and(wire_n0O00i_dataout, wire_n0ilil_dataout, nli10OO);
8289
        and(wire_n0O00l_dataout, wire_n0iliO_dataout, nli10OO);
8290
        and(wire_n0O00O_dataout, wire_n0illi_dataout, nli10OO);
8291
        and(wire_n0O01i_dataout, wire_n0il0l_dataout, nli10OO);
8292
        and(wire_n0O01l_dataout, wire_n0il0O_dataout, nli10OO);
8293
        and(wire_n0O01O_dataout, wire_n0ilii_dataout, nli10OO);
8294
        and(wire_n0O0ii_dataout, wire_n0illl_dataout, nli10OO);
8295
        and(wire_n0O0il_dataout, wire_n0illO_dataout, nli10OO);
8296
        and(wire_n0O1Ol_dataout, wire_n0il1O_dataout, nli10OO);
8297
        and(wire_n0O1OO_dataout, wire_n0il0i_dataout, nli10OO);
8298
        assign          wire_n0Oi0l_dataout = (nlil1il === 1'b1) ? wire_n0Oi0O_dataout : n0Oi1i;
8299
        or(wire_n0Oi0O_dataout, (~ n0Oi1i), nli10OO);
8300
        assign          wire_n0OOll_dataout = (nli1i1i === 1'b1) ? ni1i1O : wire_ni110i_dataout;
8301
        assign          wire_n0OOlO_dataout = (nli1i1i === 1'b1) ? ni1i0i : wire_ni110l_dataout;
8302
        assign          wire_n0OOOi_dataout = (nli1i1i === 1'b1) ? ni1i0l : wire_ni110O_dataout;
8303
        assign          wire_n0OOOl_dataout = (nli1i1i === 1'b1) ? ni1i0O : wire_ni11ii_dataout;
8304
        assign          wire_n0OOOO_dataout = (nli1i1i === 1'b1) ? ni1iii : wire_ni11il_dataout;
8305
        and(wire_n1000i_dataout, wire_n10iii_dataout, ~(n10OOi));
8306
        and(wire_n1000l_dataout, wire_n10iil_dataout, ~(n10OOi));
8307
        and(wire_n1000O_dataout, wire_n10iiO_dataout, ~(n10OOi));
8308
        and(wire_n1001i_dataout, wire_n10i0l_dataout, ~(n10OOi));
8309
        and(wire_n1001l_dataout, (~ niO1i), ~(n10OOi));
8310
        and(wire_n1001O_dataout, wire_n10i0O_dataout, ~(n10OOi));
8311
        assign          wire_n100i_dataout = (n11OO === 1'b1) ? wire_n100O_o[1] : n10ii;
8312
        and(wire_n100ii_dataout, wire_n10ili_dataout, ~(n10OOi));
8313
        and(wire_n100il_dataout, wire_n10ill_dataout, ~(n10OOi));
8314
        and(wire_n100iO_dataout, wire_n10ilO_dataout, ~(n10OOi));
8315
        and(wire_n100l_dataout, wire_n100O_o[2], n11OO);
8316
        and(wire_n100li_dataout, wire_n10iOi_dataout, ~(n10OOi));
8317
        and(wire_n100ll_dataout, wire_n10iOl_dataout, ~(n10OOi));
8318
        and(wire_n100lO_dataout, wire_n10iOO_dataout, ~(n10OOi));
8319
        and(wire_n100Oi_dataout, wire_n10l1i_dataout, ~(n10OOi));
8320
        and(wire_n100Ol_dataout, wire_n10l1l_dataout, ~(n10OOi));
8321
        or(wire_n100OO_dataout, wire_n10l1O_dataout, n10OOi);
8322
        or(wire_n101l_dataout, wire_n100i_dataout, nli000O);
8323
        and(wire_n101lO_dataout, wire_n10i1i_dataout, ~(n10OOi));
8324
        or(wire_n101O_dataout, wire_n100l_dataout, nli000O);
8325
        and(wire_n101Oi_dataout, wire_n10i1l_dataout, ~(n10OOi));
8326
        and(wire_n101Ol_dataout, wire_n10i1O_dataout, ~(n10OOi));
8327
        and(wire_n101OO_dataout, wire_n10i0i_dataout, ~(n10OOi));
8328
        and(wire_n10i0i_dataout, wire_nlOOOil_o, ~((~ niO1i)));
8329
        and(wire_n10i0l_dataout, wire_nlOOOli_o, ~((~ niO1i)));
8330
        and(wire_n10i0O_dataout, wire_nlOOOlO_o, ~((~ niO1i)));
8331
        and(wire_n10i1i_dataout, wire_nlOOO0i_o, ~((~ niO1i)));
8332
        and(wire_n10i1l_dataout, wire_nlOOO0O_dataout, ~((~ niO1i)));
8333
        and(wire_n10i1O_dataout, wire_nlOOOii_dataout, ~((~ niO1i)));
8334
        and(wire_n10iii_dataout, wire_nlOOOOl_dataout, ~((~ niO1i)));
8335
        and(wire_n10iil_dataout, wire_nlOOOOO_o, ~((~ niO1i)));
8336
        and(wire_n10iiO_dataout, wire_n1111i_o, ~((~ niO1i)));
8337
        and(wire_n10ili_dataout, wire_n1111O_dataout, ~((~ niO1i)));
8338
        and(wire_n10ill_dataout, wire_n1110i_o, ~((~ niO1i)));
8339
        and(wire_n10ilO_dataout, wire_n1110O_dataout, ~((~ niO1i)));
8340
        and(wire_n10iOi_dataout, wire_n111ii_dataout, ~((~ niO1i)));
8341
        and(wire_n10iOl_dataout, wire_n111il_o, ~((~ niO1i)));
8342
        and(wire_n10iOO_dataout, wire_n111li_o, ~((~ niO1i)));
8343
        and(wire_n10l1i_dataout, wire_n111lO_o, ~((~ niO1i)));
8344
        and(wire_n10l1l_dataout, wire_n111Ol_o, ~((~ niO1i)));
8345
        and(wire_n10l1O_dataout, wire_n1101i_o, ~((~ niO1i)));
8346
        and(wire_n10O0i_dataout, niOll, ~(n10OOi));
8347
        and(wire_n10O0l_dataout, niOlO, ~(n10OOi));
8348
        and(wire_n10O0O_dataout, niOOi, ~(n10OOi));
8349
        and(wire_n10O1i_dataout, n0O1i, ~(n10OOi));
8350
        and(wire_n10O1l_dataout, niO0O, ~(n10OOi));
8351
        and(wire_n10O1O_dataout, niOli, ~(n10OOi));
8352
        and(wire_n10Oii_dataout, niOOl, ~(n10OOi));
8353
        and(wire_n10Oil_dataout, niOOO, ~(n10OOi));
8354
        and(wire_n10OiO_dataout, nl11i, ~(n10OOi));
8355
        and(wire_n10Oli_dataout, nl11l, ~(n10OOi));
8356
        and(wire_n10Oll_dataout, niOii, ~(n10OOi));
8357
        and(wire_n10OOl_dataout, wire_n10OOO_dataout, niO1i);
8358
        or(wire_n10OOO_dataout, (~ n10OlO), ((~ n10lOO) & niO1i));
8359
        and(wire_n1100i_dataout, wire_n110ii_dataout, ~(nl0OO1l));
8360
        and(wire_n1100l_dataout, nl0OOli, ~(nl0OO1l));
8361
        and(wire_n1100O_dataout, nl0OliO, ~(nl0OOli));
8362
        and(wire_n1101O_dataout, wire_n1100O_dataout, ~(nl0OO1l));
8363
        and(wire_n110ii_dataout, (~ nl0OliO), ~(nl0OOli));
8364
        and(wire_n110iO_dataout, nl0OliO, ~(nl0OO0l));
8365
        and(wire_n110li_dataout, (~ nl0OliO), ~(nl0OO0l));
8366
        and(wire_n110ll_dataout, wire_n110OO_dataout, ~(nl0OlOl));
8367
        and(wire_n110lO_dataout, nl0OllO, ~(nl0OlOl));
8368
        and(wire_n110Oi_dataout, wire_n11i1i_dataout, ~(nl0OlOl));
8369
        and(wire_n110Ol_dataout, wire_n11i1l_dataout, ~(nl0OlOl));
8370
        and(wire_n110OO_dataout, wire_n11i1O_dataout, ~(nl0OllO));
8371
        and(wire_n1110O_dataout, nl0OliO, n1010O);
8372
        and(wire_n1111O_dataout, wire_n110Oi_dataout, n101ii);
8373
        and(wire_n111ii_dataout, nl0OlOl, n101ii);
8374
        and(wire_n11i0i_dataout, (~ nl0OliO), ~(nl0Olll));
8375
        and(wire_n11i1i_dataout, nl0Olll, ~(nl0OllO));
8376
        and(wire_n11i1l_dataout, wire_n11i0i_dataout, ~(nl0OllO));
8377
        and(wire_n11i1O_dataout, nl0OliO, ~(nl0Olll));
8378
        and(wire_n11ill_dataout, (~ nl0OO1i), ~(nl0OO1l));
8379
        and(wire_n11ilO_dataout, nl0OO1i, ~(nl0OO1l));
8380
        or(wire_n11iOl_dataout, (~ nl0OO1l), n10l0l);
8381
        and(wire_n11iOO_dataout, nl0OO1l, ~(n10l0l));
8382
        and(wire_n11l0i_dataout, wire_n11lii_dataout, ~(nl0OOiO));
8383
        and(wire_n11l0l_dataout, wire_n11lil_dataout, ~(nl0OOii));
8384
        or(wire_n11l0O_dataout, nl0OO0O, nl0OOii);
8385
        and(wire_n11l1l_dataout, wire_n11l0l_dataout, ~(nl0OOiO));
8386
        and(wire_n11l1O_dataout, wire_n11l0O_dataout, ~(nl0OOiO));
8387
        and(wire_n11lii_dataout, wire_n11liO_dataout, ~(nl0OOii));
8388
        and(wire_n11lil_dataout, nl0OO0i, ~(nl0OO0O));
8389
        and(wire_n11liO_dataout, (~ nl0OO0i), ~(nl0OO0O));
8390
        and(wire_n11O0i_dataout, nl0OOlO, ~(nl0OOOi));
8391
        and(wire_n11O0l_dataout, (~ nl0OOlO), ~(nl0OOOi));
8392
        or(wire_n1i0ll_dataout, wire_n1ii0l_dataout, wire_n1i10O_dout);
8393
        and(wire_n1i0lO_dataout, wire_n1ii0O_dataout, ~(wire_n1i10O_dout));
8394
        and(wire_n1i0Oi_dataout, wire_n1iiii_dataout, ~(wire_n1i10O_dout));
8395
        or(wire_n1i0Ol_dataout, wire_n1iiil_dataout, wire_n1i10O_dout);
8396
        or(wire_n1i0OO_dataout, wire_n1iiiO_dataout, wire_n1i10O_dout);
8397
        and(wire_n1i1iO_dataout, wire_n1i1li_dataout, ~(wire_n1i10O_dout));
8398
        or(wire_n1i1li_dataout, wire_n1i1ll_dataout, wire_n1OiOl_o);
8399
        and(wire_n1i1ll_dataout, n1i01i, ~(wire_n1Oili_dataout));
8400
        or(wire_n1i1Oi_dataout, wire_n1i1Ol_dataout, wire_n1i10O_dout);
8401
        or(wire_n1i1Ol_dataout, wire_n1i1OO_dataout, wire_n1Oi0O_o);
8402
        or(wire_n1i1OO_dataout, (~ n1i1il), nli111l);
8403
        or(wire_n1ii0i_dataout, wire_n1iiOi_dataout, wire_n1i10O_dout);
8404
        or(wire_n1ii0l_dataout, wire_n1iiOl_dataout, wire_n1OiOl_o);
8405
        or(wire_n1ii0O_dataout, wire_n1iiOO_dataout, wire_n1OiOl_o);
8406
        or(wire_n1ii1i_dataout, wire_n1iili_dataout, wire_n1i10O_dout);
8407
        or(wire_n1ii1l_dataout, wire_n1iill_dataout, wire_n1i10O_dout);
8408
        and(wire_n1ii1O_dataout, wire_n1iilO_dataout, ~(wire_n1i10O_dout));
8409
        or(wire_n1iiii_dataout, wire_n1il1i_dataout, wire_n1OiOl_o);
8410
        and(wire_n1iiil_dataout, wire_n1il1l_dataout, ~(wire_n1OiOl_o));
8411
        or(wire_n1iiiO_dataout, wire_n1il1O_dataout, wire_n1OiOl_o);
8412
        or(wire_n1iili_dataout, wire_n1il0i_dataout, wire_n1OiOl_o);
8413
        or(wire_n1iill_dataout, wire_n1il0l_dataout, wire_n1OiOl_o);
8414
        or(wire_n1iilO_dataout, wire_n1il0O_dataout, wire_n1OiOl_o);
8415
        or(wire_n1iiOi_dataout, wire_n1ilii_dataout, wire_n1OiOl_o);
8416
        or(wire_n1iiOl_dataout, wire_n1ilil_dataout, wire_n1Oili_dataout);
8417
        or(wire_n1iiOO_dataout, wire_n1iliO_dataout, wire_n1Oili_dataout);
8418
        or(wire_n1il0i_dataout, wire_n1ilOi_dataout, wire_n1Oili_dataout);
8419
        or(wire_n1il0l_dataout, wire_n1ilOl_dataout, wire_n1Oili_dataout);
8420
        or(wire_n1il0O_dataout, wire_n1ilOO_dataout, wire_n1Oili_dataout);
8421
        and(wire_n1il1i_dataout, wire_n1illi_dataout, ~(wire_n1Oili_dataout));
8422
        or(wire_n1il1l_dataout, wire_n1illl_dataout, wire_n1Oili_dataout);
8423
        or(wire_n1il1O_dataout, wire_n1illO_dataout, wire_n1Oili_dataout);
8424
        or(wire_n1ilii_dataout, wire_n1iO1i_dataout, wire_n1Oili_dataout);
8425
        or(wire_n1ilil_dataout, wire_n1iO1l_dataout, n0110l);
8426
        or(wire_n1iliO_dataout, wire_n1iO1O_dataout, n0110l);
8427
        or(wire_n1illi_dataout, wire_n1iO0i_dataout, n0110l);
8428
        or(wire_n1illl_dataout, wire_n1iO0l_dataout, n0110l);
8429
        and(wire_n1illO_dataout, wire_n1iO0O_dataout, ~(n0110l));
8430
        or(wire_n1ilOi_dataout, wire_n1iOii_dataout, n0110l);
8431
        or(wire_n1ilOl_dataout, wire_n1iOil_dataout, n0110l);
8432
        or(wire_n1ilOO_dataout, wire_n1iOiO_dataout, n0110l);
8433
        or(wire_n1iO0i_dataout, wire_n1iOOi_dataout, wire_n1Oiil_dataout);
8434
        or(wire_n1iO0l_dataout, wire_n1iOOl_dataout, wire_n1Oiil_dataout);
8435
        and(wire_n1iO0O_dataout, wire_n1iOOO_dataout, ~(wire_n1Oiil_dataout));
8436
        or(wire_n1iO1i_dataout, wire_n1iOli_dataout, n0110l);
8437
        or(wire_n1iO1l_dataout, wire_n1iOll_dataout, wire_n1Oiil_dataout);
8438
        or(wire_n1iO1O_dataout, wire_n1iOlO_dataout, wire_n1Oiil_dataout);
8439
        or(wire_n1iOii_dataout, wire_n1l11i_dataout, wire_n1Oiil_dataout);
8440
        or(wire_n1iOil_dataout, wire_n1l11l_dataout, wire_n1Oiil_dataout);
8441
        or(wire_n1iOiO_dataout, wire_n1l11O_dataout, wire_n1Oiil_dataout);
8442
        or(wire_n1iOli_dataout, wire_n1l10i_dataout, wire_n1Oiil_dataout);
8443
        or(wire_n1iOll_dataout, wire_n1l10l_dataout, wire_n1OiiO_o);
8444
        or(wire_n1iOlO_dataout, wire_n1l10O_dataout, wire_n1OiiO_o);
8445
        or(wire_n1iOOi_dataout, wire_n1l1ii_dataout, wire_n1OiiO_o);
8446
        or(wire_n1iOOl_dataout, wire_n1l1il_dataout, wire_n1OiiO_o);
8447
        and(wire_n1iOOO_dataout, wire_n1l1iO_dataout, ~(wire_n1OiiO_o));
8448
        or(wire_n1l00i_dataout, wire_n1l0Oi_dataout, nli111O);
8449
        or(wire_n1l00l_dataout, wire_n1l0Ol_dataout, nli111O);
8450
        or(wire_n1l00O_dataout, wire_n1l0OO_dataout, nli111O);
8451
        or(wire_n1l01i_dataout, wire_n1l0li_dataout, nli111O);
8452
        or(wire_n1l01l_dataout, wire_n1l0ll_dataout, nli111O);
8453
        or(wire_n1l01O_dataout, wire_n1l0lO_dataout, nli111O);
8454
        or(wire_n1l0ii_dataout, wire_n1li1i_dataout, nli111O);
8455
        and(wire_n1l0il_dataout, wire_n1li1l_dataout, ~(wire_n1Oill_o));
8456
        assign          wire_n1l0iO_dataout = (wire_n1Oill_o === 1'b1) ? nii00O : wire_n1li1O_dataout;
8457
        assign          wire_n1l0li_dataout = (wire_n1Oill_o === 1'b1) ? nii0ii : wire_n1li0i_dataout;
8458
        assign          wire_n1l0ll_dataout = (wire_n1Oill_o === 1'b1) ? nii0il : wire_n1li0l_dataout;
8459
        assign          wire_n1l0lO_dataout = (wire_n1Oill_o === 1'b1) ? nii0iO : wire_n1li0O_dataout;
8460
        assign          wire_n1l0Oi_dataout = (wire_n1Oill_o === 1'b1) ? nii0li : wire_n1liii_dataout;
8461
        assign          wire_n1l0Ol_dataout = (wire_n1Oill_o === 1'b1) ? nii0ll : wire_n1liil_dataout;
8462
        assign          wire_n1l0OO_dataout = (wire_n1Oill_o === 1'b1) ? nii0lO : wire_n1liiO_dataout;
8463
        or(wire_n1l10i_dataout, wire_n1l1Oi_dataout, wire_n1OiiO_o);
8464
        or(wire_n1l10l_dataout, wire_n1l1Ol_dataout, n0111O);
8465
        and(wire_n1l10O_dataout, wire_n1l1OO_dataout, ~(n0111O));
8466
        or(wire_n1l11i_dataout, wire_n1l1li_dataout, wire_n1OiiO_o);
8467
        or(wire_n1l11l_dataout, wire_n1l1ll_dataout, wire_n1OiiO_o);
8468
        or(wire_n1l11O_dataout, wire_n1l1lO_dataout, wire_n1OiiO_o);
8469
        or(wire_n1l1ii_dataout, wire_n1l01i_dataout, n0111O);
8470
        or(wire_n1l1il_dataout, wire_n1l01l_dataout, n0111O);
8471
        or(wire_n1l1iO_dataout, wire_n1l01O_dataout, n0111O);
8472
        or(wire_n1l1l_dataout, wire_n1l0i_o[1], (~ nli00iO));
8473
        or(wire_n1l1li_dataout, wire_n1l00i_dataout, n0111O);
8474
        or(wire_n1l1ll_dataout, wire_n1l00l_dataout, n0111O);
8475
        or(wire_n1l1lO_dataout, wire_n1l00O_dataout, n0111O);
8476
        or(wire_n1l1O_dataout, wire_n1l0i_o[2], (~ nli00iO));
8477
        or(wire_n1l1Oi_dataout, wire_n1l0ii_dataout, n0111O);
8478
        or(wire_n1l1Ol_dataout, wire_n1l0il_dataout, nli111O);
8479
        and(wire_n1l1OO_dataout, wire_n1l0iO_dataout, ~(nli111O));
8480
        and(wire_n1li0i_dataout, wire_n1liOi_dataout, ~(wire_n1Oi0O_o));
8481
        or(wire_n1li0l_dataout, wire_n1liOl_dataout, wire_n1Oi0O_o);
8482
        or(wire_n1li0O_dataout, wire_n1liOO_dataout, wire_n1Oi0O_o);
8483
        assign          wire_n1li1i_dataout = (wire_n1Oill_o === 1'b1) ? nii0Oi : wire_n1lili_dataout;
8484
        or(wire_n1li1l_dataout, wire_n1lill_dataout, wire_n1Oi0O_o);
8485
        and(wire_n1li1O_dataout, wire_n1lilO_dataout, ~(wire_n1Oi0O_o));
8486
        or(wire_n1liii_dataout, wire_n1ll1i_dataout, wire_n1Oi0O_o);
8487
        or(wire_n1liil_dataout, wire_n1ll1l_dataout, wire_n1Oi0O_o);
8488
        and(wire_n1liiO_dataout, wire_n1ll1O_dataout, ~(wire_n1Oi0O_o));
8489
        or(wire_n1lili_dataout, wire_n1ll0i_dataout, wire_n1Oi0O_o);
8490
        and(wire_n1lill_dataout, wire_n1ll0l_dataout, ~(n1OOOO));
8491
        or(wire_n1lilO_dataout, wire_n1ll0O_dataout, n1OOOO);
8492
        and(wire_n1liOi_dataout, wire_n1llii_dataout, ~(n1OOOO));
8493
        or(wire_n1liOl_dataout, wire_n1llil_dataout, n1OOOO);
8494
        and(wire_n1liOO_dataout, wire_n1lliO_dataout, ~(n1OOOO));
8495
        or(wire_n1ll0i_dataout, wire_n1llOi_dataout, n1OOOO);
8496
        and(wire_n1ll0l_dataout, wire_n1llOl_dataout, ~(n1OOOl));
8497
        assign          wire_n1ll0O_dataout = (n1OOOl === 1'b1) ? wire_n1i10l_dout[0] : wire_n1llOO_dataout;
8498
        or(wire_n1ll1i_dataout, wire_n1llli_dataout, n1OOOO);
8499
        or(wire_n1ll1l_dataout, wire_n1llll_dataout, n1OOOO);
8500
        and(wire_n1ll1O_dataout, wire_n1lllO_dataout, ~(n1OOOO));
8501
        assign          wire_n1llii_dataout = (n1OOOl === 1'b1) ? wire_n1i10l_dout[1] : wire_n1lO1i_dataout;
8502
        assign          wire_n1llil_dataout = (n1OOOl === 1'b1) ? wire_n1i10l_dout[2] : wire_n1lO1l_dataout;
8503
        assign          wire_n1lliO_dataout = (n1OOOl === 1'b1) ? wire_n1i10l_dout[3] : wire_n1lO1O_dataout;
8504
        assign          wire_n1llli_dataout = (n1OOOl === 1'b1) ? wire_n1i10l_dout[4] : wire_n1lO0i_dataout;
8505
        assign          wire_n1llll_dataout = (n1OOOl === 1'b1) ? wire_n1i10l_dout[5] : wire_n1lO0l_dataout;
8506
        assign          wire_n1lllO_dataout = (n1OOOl === 1'b1) ? wire_n1i10l_dout[6] : wire_n1lO0O_dataout;
8507
        assign          wire_n1llOi_dataout = (n1OOOl === 1'b1) ? wire_n1i10l_dout[7] : wire_n1lOii_dataout;
8508
        and(wire_n1llOl_dataout, wire_n1lOil_dataout, ~(n1OOOi));
8509
        assign          wire_n1llOO_dataout = (n1OOOi === 1'b1) ? wire_n1i10l_dout[8] : wire_n1lOiO_dataout;
8510
        assign          wire_n1lO0i_dataout = (n1OOOi === 1'b1) ? wire_n1i10l_dout[12] : wire_n1lOOi_dataout;
8511
        assign          wire_n1lO0l_dataout = (n1OOOi === 1'b1) ? wire_n1i10l_dout[13] : wire_n1lOOl_dataout;
8512
        assign          wire_n1lO0O_dataout = (n1OOOi === 1'b1) ? wire_n1i10l_dout[14] : wire_n1lOOO_dataout;
8513
        assign          wire_n1lO1i_dataout = (n1OOOi === 1'b1) ? wire_n1i10l_dout[9] : wire_n1lOli_dataout;
8514
        assign          wire_n1lO1l_dataout = (n1OOOi === 1'b1) ? wire_n1i10l_dout[10] : wire_n1lOll_dataout;
8515
        assign          wire_n1lO1O_dataout = (n1OOOi === 1'b1) ? wire_n1i10l_dout[11] : wire_n1lOlO_dataout;
8516
        assign          wire_n1lOii_dataout = (n1OOOi === 1'b1) ? wire_n1i10l_dout[15] : wire_n1O11i_dataout;
8517
        or(wire_n1lOil_dataout, wire_n1O11l_dataout, wire_n1Oi0l_dataout);
8518
        and(wire_n1lOiO_dataout, wire_n1O11O_dataout, ~(wire_n1Oi0l_dataout));
8519
        and(wire_n1lOli_dataout, wire_n1O10i_dataout, ~(wire_n1Oi0l_dataout));
8520
        or(wire_n1lOll_dataout, wire_n1O10l_dataout, wire_n1Oi0l_dataout);
8521
        or(wire_n1lOlO_dataout, wire_n1O10O_dataout, wire_n1Oi0l_dataout);
8522
        or(wire_n1lOOi_dataout, wire_n1O1ii_dataout, wire_n1Oi0l_dataout);
8523
        or(wire_n1lOOl_dataout, wire_n1O1il_dataout, wire_n1Oi0l_dataout);
8524
        and(wire_n1lOOO_dataout, wire_n1O1iO_dataout, ~(wire_n1Oi0l_dataout));
8525
        assign          wire_n1O00i_dataout = (n1OOli === 1'b1) ? wire_n1i10l_dout[7] : wire_n1O0Oi_dataout;
8526
        and(wire_n1O00l_dataout, wire_n1O0Ol_dataout, ~(n1OOiO));
8527
        and(wire_n1O00O_dataout, wire_n1i10l_dout[8], n1OOiO);
8528
        assign          wire_n1O01i_dataout = (n1OOli === 1'b1) ? wire_n1i10l_dout[4] : wire_n1O0li_dataout;
8529
        assign          wire_n1O01l_dataout = (n1OOli === 1'b1) ? wire_n1i10l_dout[5] : wire_n1O0ll_dataout;
8530
        assign          wire_n1O01O_dataout = (n1OOli === 1'b1) ? wire_n1i10l_dout[6] : wire_n1O0lO_dataout;
8531
        and(wire_n1O0ii_dataout, wire_n1i10l_dout[9], n1OOiO);
8532
        assign          wire_n1O0il_dataout = (n1OOiO === 1'b1) ? wire_n1i10l_dout[10] : wire_n1Oi1i_dataout;
8533
        assign          wire_n1O0iO_dataout = (n1OOiO === 1'b1) ? wire_n1i10l_dout[11] : wire_n1O0Ol_dataout;
8534
        or(wire_n1O0l_dataout, wire_n1OiO_o[1], (~ nli00lO));
8535
        or(wire_n1O0li_dataout, wire_n1i10l_dout[12], ~(n1OOiO));
8536
        assign          wire_n1O0ll_dataout = (n1OOiO === 1'b1) ? wire_n1i10l_dout[13] : wire_n1O0Ol_dataout;
8537
        assign          wire_n1O0lO_dataout = (n1OOiO === 1'b1) ? wire_n1i10l_dout[14] : wire_n1O0OO_dataout;
8538
        or(wire_n1O0O_dataout, wire_n1OiO_o[2], (~ nli00lO));
8539
        assign          wire_n1O0Oi_dataout = (n1OOiO === 1'b1) ? wire_n1i10l_dout[15] : wire_n1Oi1i_dataout;
8540
        or(wire_n1O0Ol_dataout, (~ nli111i), nli111l);
8541
        and(wire_n1O0OO_dataout, nli111i, ~(nli111l));
8542
        or(wire_n1O10i_dataout, wire_n1O1Oi_dataout, n1OOll);
8543
        and(wire_n1O10l_dataout, wire_n1O1Ol_dataout, ~(n1OOll));
8544
        and(wire_n1O10O_dataout, wire_n1O1OO_dataout, ~(n1OOll));
8545
        or(wire_n1O11i_dataout, wire_n1O1li_dataout, wire_n1Oi0l_dataout);
8546
        and(wire_n1O11l_dataout, wire_n1O1ll_dataout, ~(n1OOll));
8547
        and(wire_n1O11O_dataout, wire_n1O1lO_dataout, ~(n1OOll));
8548
        and(wire_n1O1ii_dataout, wire_n1O01i_dataout, ~(n1OOll));
8549
        and(wire_n1O1il_dataout, wire_n1O01l_dataout, ~(n1OOll));
8550
        or(wire_n1O1iO_dataout, wire_n1O01O_dataout, n1OOll);
8551
        and(wire_n1O1li_dataout, wire_n1O00i_dataout, ~(n1OOll));
8552
        and(wire_n1O1ll_dataout, wire_n1O00l_dataout, ~(n1OOli));
8553
        assign          wire_n1O1lO_dataout = (n1OOli === 1'b1) ? wire_n1i10l_dout[0] : wire_n1O00O_dataout;
8554
        assign          wire_n1O1Oi_dataout = (n1OOli === 1'b1) ? wire_n1i10l_dout[1] : wire_n1O0ii_dataout;
8555
        assign          wire_n1O1Ol_dataout = (n1OOli === 1'b1) ? wire_n1i10l_dout[2] : wire_n1O0il_dataout;
8556
        assign          wire_n1O1OO_dataout = (n1OOli === 1'b1) ? wire_n1i10l_dout[3] : wire_n1O0iO_dataout;
8557
        and(wire_n1Oi0l_dataout, nli11iO, n1OOlO);
8558
        or(wire_n1Oi1i_dataout, (~ nli111i), nli111l);
8559
        or(wire_n1Oii_dataout, wire_n1OiO_o[3], (~ nli00lO));
8560
        and(wire_n1Oiil_dataout, n1i1il, n0110i);
8561
        or(wire_n1Oil_dataout, wire_n1OiO_o[4], (~ nli00lO));
8562
        and(wire_n1Oili_dataout, nli11li, n0110O);
8563
        and(wire_n1Ol0i_dataout, nli11lO, ~(nli11iO));
8564
        and(wire_n1Ol0l_dataout, wire_n1Olii_dataout, ~(nli11iO));
8565
        and(wire_n1Ol0O_dataout, nli11il, ~(nli11lO));
8566
        and(wire_n1Ol1O_dataout, wire_n1Ol0O_dataout, ~(nli11iO));
8567
        and(wire_n1Olii_dataout, (~ nli11il), ~(nli11lO));
8568
        and(wire_n1Olll_dataout, wire_n1OlOl_dataout, ~(nli11Oi));
8569
        and(wire_n1OllO_dataout, nli11lO, ~(nli11Oi));
8570
        and(wire_n1OlOi_dataout, wire_n1OlOO_dataout, ~(nli11Oi));
8571
        and(wire_n1OlOl_dataout, nli11ll, ~(nli11lO));
8572
        and(wire_n1OlOO_dataout, (~ nli11ll), ~(nli11lO));
8573 9 jefflieu
        and(wire_ni01il_dataout, wire_ni01lO_o[0], wire_ni01Oi_o);
8574
        and(wire_ni01iO_dataout, wire_ni01lO_o[1], wire_ni01Oi_o);
8575
        and(wire_ni01li_dataout, wire_ni01lO_o[2], wire_ni01Oi_o);
8576
        and(wire_ni01ll_dataout, wire_ni01lO_o[3], wire_ni01Oi_o);
8577
        and(wire_ni0i0i_dataout, wire_ni0i0l_o[3], wire_ni0i0O_o);
8578
        and(wire_ni0i1i_dataout, wire_ni0i0l_o[0], wire_ni0i0O_o);
8579
        and(wire_ni0i1l_dataout, wire_ni0i0l_o[1], wire_ni0i0O_o);
8580
        and(wire_ni0i1O_dataout, wire_ni0i0l_o[2], wire_ni0i0O_o);
8581
        and(wire_ni0iii_dataout, wire_ni1O0i_q_b[0], ni0lli);
8582
        and(wire_ni0iil_dataout, wire_ni1O0i_q_b[1], ni0lli);
8583
        and(wire_ni0iiO_dataout, wire_ni1O0i_q_b[2], ni0lli);
8584
        and(wire_ni0ili_dataout, wire_ni1O0i_q_b[3], ni0lli);
8585
        and(wire_ni0ill_dataout, wire_ni1O0i_q_b[4], ni0lli);
8586
        and(wire_ni0ilO_dataout, wire_ni1O0i_q_b[5], ni0lli);
8587
        and(wire_ni0iOi_dataout, wire_ni1O0i_q_b[6], ni0lli);
8588
        and(wire_ni0iOl_dataout, wire_ni1O0i_q_b[7], ni0lli);
8589
        and(wire_ni0iOO_dataout, wire_ni1O0i_q_b[8], ni0lli);
8590
        and(wire_ni0l1i_dataout, wire_ni1O0i_q_b[9], ni0lli);
8591 20 jefflieu
        and(wire_ni10il_dataout, nli1i0l, ~(nli1i0O));
8592
        and(wire_ni10iO_dataout, (~ nli1i0l), ~(nli1i0O));
8593 9 jefflieu
        and(wire_ni110i_dataout, ni1l0i, ni10Ol);
8594
        and(wire_ni110l_dataout, ni1l0l, ni10Ol);
8595
        and(wire_ni110O_dataout, ni1l0O, ni10Ol);
8596 20 jefflieu
        assign          wire_ni111i_dataout = (nli1i1i === 1'b1) ? ni1iil : wire_ni11iO_dataout;
8597
        assign          wire_ni111l_dataout = (nli1i1i === 1'b1) ? ni1iiO : wire_ni11li_dataout;
8598
        assign          wire_ni111O_dataout = (nli1i1i === 1'b1) ? ni1ili : wire_ni11ll_dataout;
8599 9 jefflieu
        and(wire_ni11ii_dataout, ni1lii, ni10Ol);
8600
        and(wire_ni11il_dataout, ni1lil, ni10Ol);
8601
        and(wire_ni11iO_dataout, ni1liO, ni10Ol);
8602
        and(wire_ni11li_dataout, ni1lli, ni10Ol);
8603
        and(wire_ni11ll_dataout, ni1lll, ni10Ol);
8604 20 jefflieu
        and(wire_niii0i_dataout, nli1iiO, nli1ill);
8605 9 jefflieu
        or(wire_niii1i_dataout, niiilO, nil1OO);
8606 20 jefflieu
        assign          wire_niii1O_dataout = (nli1ili === 1'b1) ? nli1iil : wire_niii0i_dataout;
8607
        assign          wire_niiiOi_dataout = (nli1ili === 1'b1) ? wire_niiO0O_dataout : wire_niil0l_dataout;
8608
        assign          wire_niiiOl_dataout = (nli1ili === 1'b1) ? wire_niiOii_dataout : wire_niil0O_dataout;
8609
        assign          wire_niiiOO_dataout = (nli1ili === 1'b1) ? wire_niiOil_dataout : wire_niilii_dataout;
8610
        assign          wire_niil0i_dataout = (nli1ili === 1'b1) ? wire_niiOlO_dataout : wire_niilll_dataout;
8611
        and(wire_niil0l_dataout, wire_niillO_dataout, nli1ill);
8612
        and(wire_niil0O_dataout, wire_niilOi_dataout, nli1ill);
8613
        assign          wire_niil1i_dataout = (nli1ili === 1'b1) ? wire_niiOiO_dataout : wire_niilil_dataout;
8614
        assign          wire_niil1l_dataout = (nli1ili === 1'b1) ? wire_niiOli_dataout : wire_niiliO_dataout;
8615
        assign          wire_niil1O_dataout = (nli1ili === 1'b1) ? wire_niiOll_dataout : wire_niilli_dataout;
8616
        and(wire_niilii_dataout, wire_niilOl_dataout, nli1ill);
8617
        and(wire_niilil_dataout, wire_niilOO_dataout, nli1ill);
8618
        and(wire_niiliO_dataout, wire_niiO1i_dataout, nli1ill);
8619
        and(wire_niilli_dataout, wire_niiO1l_dataout, nli1ill);
8620
        and(wire_niilll_dataout, wire_niiO1O_dataout, nli1ill);
8621 9 jefflieu
        and(wire_niillO_dataout, wire_niiO0i_o[0], ~(wire_niiO0l_o));
8622
        and(wire_niilOi_dataout, wire_niiO0i_o[1], ~(wire_niiO0l_o));
8623
        and(wire_niilOl_dataout, wire_niiO0i_o[2], ~(wire_niiO0l_o));
8624
        and(wire_niilOO_dataout, wire_niiO0i_o[3], ~(wire_niiO0l_o));
8625 20 jefflieu
        and(wire_niiO0O_dataout, wire_niiO0i_o[0], ~(nli1ilO));
8626 9 jefflieu
        and(wire_niiO1i_dataout, wire_niiO0i_o[4], ~(wire_niiO0l_o));
8627
        and(wire_niiO1l_dataout, wire_niiO0i_o[5], ~(wire_niiO0l_o));
8628
        and(wire_niiO1O_dataout, wire_niiO0i_o[6], ~(wire_niiO0l_o));
8629 20 jefflieu
        and(wire_niiOii_dataout, wire_niiO0i_o[1], ~(nli1ilO));
8630
        and(wire_niiOil_dataout, wire_niiO0i_o[2], ~(nli1ilO));
8631
        and(wire_niiOiO_dataout, wire_niiO0i_o[3], ~(nli1ilO));
8632
        and(wire_niiOli_dataout, wire_niiO0i_o[4], ~(nli1ilO));
8633
        and(wire_niiOll_dataout, wire_niiO0i_o[5], ~(nli1ilO));
8634
        and(wire_niiOlO_dataout, wire_niiO0i_o[6], ~(nli1ilO));
8635
        and(wire_nil10l_dataout, nii0OO, ~(nli1iOi));
8636
        and(wire_nil10O_dataout, (~ nii0OO), ~(nli1iOi));
8637
        and(wire_nil1iO_dataout, nli1iOO, ~(nli1l1i));
8638
        and(wire_nil1li_dataout, (~ nli1iOO), ~(nli1l1i));
8639
        assign          wire_nill0O_dataout = (nliil0l === 1'b1) ? wire_nilO1i_dataout : niliil;
8640
        assign          wire_nillii_dataout = (nliil0l === 1'b1) ? wire_nilO1l_dataout : nilill;
8641
        assign          wire_nillil_dataout = (nliil0l === 1'b1) ? wire_nilO1O_dataout : nililO;
8642
        assign          wire_nilliO_dataout = (nliil0l === 1'b1) ? wire_nilO0i_dataout : niliOi;
8643
        assign          wire_nillli_dataout = (nliil0l === 1'b1) ? wire_nilO0l_dataout : niliOl;
8644
        assign          wire_nillll_dataout = (nliil0l === 1'b1) ? wire_nilO0O_dataout : niliOO;
8645
        assign          wire_nilllO_dataout = (nliil0l === 1'b1) ? wire_nilOii_dataout : nill1i;
8646
        assign          wire_nillOi_dataout = (nliil0l === 1'b1) ? wire_nilOil_dataout : nill1l;
8647
        assign          wire_nillOl_dataout = (nliil0l === 1'b1) ? wire_nilOiO_dataout : nill1O;
8648
        assign          wire_nillOO_dataout = (nliil0l === 1'b1) ? wire_nilOli_dataout : nill0i;
8649
        assign          wire_nilO0i_dataout = (nli1l0i === 1'b1) ? nilOOO : n0Olii;
8650
        assign          wire_nilO0l_dataout = (nli1l0i === 1'b1) ? niO11i : n0Olil;
8651
        assign          wire_nilO0O_dataout = (nli1l0i === 1'b1) ? niO11l : n0OliO;
8652
        assign          wire_nilO1i_dataout = (nli1l0i === 1'b1) ? nill0l : n0Ol0i;
8653
        assign          wire_nilO1l_dataout = (nli1l0i === 1'b1) ? nilOOi : n0Ol0l;
8654
        assign          wire_nilO1O_dataout = (nli1l0i === 1'b1) ? nilOOl : n0Ol0O;
8655
        assign          wire_nilOii_dataout = (nli1l0i === 1'b1) ? niO11O : n0Olli;
8656
        assign          wire_nilOil_dataout = (nli1l0i === 1'b1) ? niO10i : n0Olll;
8657
        assign          wire_nilOiO_dataout = (nli1l0i === 1'b1) ? niO10l : n0Ol1O;
8658
        assign          wire_nilOli_dataout = (nli1l0i === 1'b1) ? niO10O : n0OO1l;
8659 9 jefflieu
        assign          wire_niO0ll_dataout = (wire_niO0lO_o[1] === 1'b1) ? (~ ((~ mii_tx_en) & (~ niOi1O))) : (mii_tx_en | niOi1O);
8660 20 jefflieu
        assign          wire_niO1iO_dataout = (nliil0l === 1'b1) ? wire_niO1li_dataout : niO1ii;
8661
        and(wire_niO1l_dataout, wire_niO1O_dataout, ~(((~ n0O1i) | (~ wire_nl0ii_syncstatus[0]))));
8662
        or(wire_niO1li_dataout, (~ niO1ii), nli1l0i);
8663
        or(wire_niO1O_dataout, n0lil, ((wire_nl0ii_syncstatus[0] & wire_nl0ii_rlv) & (nlii1Ol22 ^ nlii1Ol21)));
8664 9 jefflieu
        assign          wire_niOi0l_dataout = (wire_niOi0O_o[1] === 1'b1) ? (~ ((~ nii00l) | (~ niOl1i))) : (niOill & (nii00l & niOl1i));
8665
        and(wire_niOi1i_dataout, wire_niO0ll_dataout, ~(niO0OO));
8666
        and(wire_niOilO_dataout, wire_niOi0l_dataout, ~(niOiOl));
8667
        and(wire_nl000i_dataout, nl0i1i, ~((nl00OO & (~ nl00Ol))));
8668 20 jefflieu
        or(wire_nl00il_dataout, wire_nl00iO_dataout, nlliOO);
8669
        and(wire_nl00iO_dataout, nl010l, ~((nli1liO & nlO1iO)));
8670 9 jefflieu
        and(wire_nl010O_dataout, wire_nl01iO_dataout, ~((~ nl00ll)));
8671
        and(wire_nl01ii_dataout, wire_nl01li_dataout, ~((~ nl00ll)));
8672
        or(wire_nl01il_dataout, wire_nl01ll_dataout, (~ nl00ll));
8673 20 jefflieu
        assign          wire_nl01iO_dataout = (nli1lii === 1'b1) ? wire_nl000i_dataout : wire_nl01lO_dataout;
8674
        assign          wire_nl01li_dataout = (nli1lii === 1'b1) ? nl00Ol : wire_nl01Oi_dataout;
8675
        assign          wire_nl01ll_dataout = (nli1lii === 1'b1) ? nl00OO : wire_nl01Ol_dataout;
8676
        assign          wire_nl01lO_dataout = (nli1l0O === 1'b1) ? wire_nl01OO_dataout : nl011l;
8677
        assign          wire_nl01Oi_dataout = (nli1l0O === 1'b1) ? nli0lO : nl011O;
8678
        assign          wire_nl01Ol_dataout = (nli1l0O === 1'b1) ? nli0Oi : nl010i;
8679 9 jefflieu
        and(wire_nl01OO_dataout, (~ nli0Ol), ~((nli0Oi & (~ nli0lO))));
8680 20 jefflieu
        and(wire_nl101i_dataout, wire_nl1i1l_o, nlO1iO);
8681
        and(wire_nl101l_dataout, wire_nl1i1O_o, nlO1iO);
8682
        and(wire_nl110i_dataout, wire_nl100l_o, nlO1iO);
8683
        and(wire_nl110l_dataout, wire_nl100O_o, nlO1iO);
8684
        and(wire_nl110O_dataout, wire_nl10ii_o, nlO1iO);
8685
        and(wire_nl111l_dataout, wire_nl101O_o, nlO1iO);
8686
        and(wire_nl111O_dataout, wire_nl100i_o, nlO1iO);
8687
        and(wire_nl11ii_dataout, wire_nl10il_o, nlO1iO);
8688
        and(wire_nl11il_dataout, wire_nl10iO_o, nlO1iO);
8689
        and(wire_nl11iO_dataout, wire_nl10li_o, nlO1iO);
8690
        and(wire_nl11li_dataout, wire_nl10ll_o, nlO1iO);
8691
        and(wire_nl11ll_dataout, wire_nl10lO_o, nlO1iO);
8692
        and(wire_nl11lO_dataout, wire_nl10Oi_o, nlO1iO);
8693
        and(wire_nl11Oi_dataout, wire_nl10Ol_o, nlO1iO);
8694
        and(wire_nl11Ol_dataout, wire_nl10OO_o, nlO1iO);
8695
        and(wire_nl11OO_dataout, wire_nl1i1i_o, nlO1iO);
8696
        and(wire_nl1lii_dataout, nli1OO, nli1l0l);
8697
        and(wire_nl1lil_dataout, nli01O, nli1l0l);
8698
        and(wire_nl1liO_dataout, nli00i, nli1l0l);
8699
        and(wire_nl1lli_dataout, nli00l, nli1l0l);
8700
        and(wire_nl1lll_dataout, nli00O, nli1l0l);
8701
        and(wire_nl1llO_dataout, nli0ii, nli1l0l);
8702
        and(wire_nl1lOi_dataout, nli0il, nli1l0l);
8703
        and(wire_nl1lOl_dataout, nli0iO, nli1l0l);
8704
        and(wire_nl1lOO_dataout, nli0li, nli1l0l);
8705
        and(wire_nl1O0i_dataout, nli0Ol, nli1l0l);
8706
        and(wire_nl1O0l_dataout, nli0OO, nli1l0l);
8707
        and(wire_nl1O0O_dataout, nlii1i, nli1l0l);
8708
        and(wire_nl1O1i_dataout, nli0ll, nli1l0l);
8709
        and(wire_nl1O1l_dataout, nli0lO, nli1l0l);
8710
        and(wire_nl1O1O_dataout, nli0Oi, nli1l0l);
8711
        and(wire_nl1Oii_dataout, nlii1O, nli1l0l);
8712
        or(wire_nli01i_dataout, wire_nli01l_dataout, nll010l);
8713 9 jefflieu
        and(wire_nli01l_dataout, nli1Ol, ~(nli1lO));
8714
        or(wire_nli1ii_dataout, wire_nli1il_dataout, nli10O);
8715 20 jefflieu
        and(wire_nli1il_dataout, nli10l, ~((nll1iO & (nli1O0l & (~ nlO1iO)))));
8716
        and(wire_nli1l_dataout, wire_nl01O_locked, ~(reset));
8717 9 jefflieu
        and(wire_nlii0l_dataout, nlii0i, ~(nl00ll));
8718
        and(wire_nlii0O_dataout, nliill, ~(nl00ll));
8719
        and(wire_nliiii_dataout, nliilO, ~(nl00ll));
8720
        and(wire_nliiil_dataout, nliiOl, ~(nl00ll));
8721
        and(wire_nliiiO_dataout, nliiOO, ~(nl00ll));
8722 20 jefflieu
        or(wire_nliil1l_dataout, wire_nliil1O_dataout, nliiOlO);
8723
        or(wire_nliil1O_dataout, (((~ nliiOlO) & (~ nliilOO)) & nl0O11O), (((~ nliiOlO) & nliilOO) & nl0O10i));
8724 9 jefflieu
        and(wire_nliili_dataout, nlil1l, ~(nl00ll));
8725 20 jefflieu
        and(wire_nliiO0i_dataout, wire_nliiOii_o[3], ~(nl0O11O));
8726
        and(wire_nliiO0l_dataout, wire_nliiOii_o[4], ~(nl0O11O));
8727
        and(wire_nliiO0O_dataout, wire_nliiOii_o[5], ~(nl0O11O));
8728
        and(wire_nliiO1i_dataout, wire_nliiOii_o[0], ~(nl0O11O));
8729
        and(wire_nliiO1l_dataout, wire_nliiOii_o[1], ~(nl0O11O));
8730
        and(wire_nliiO1O_dataout, wire_nliiOii_o[2], ~(nl0O11O));
8731
        and(wire_nliiOil_dataout, wire_nliiOll_o[0], ~(nl0O10i));
8732
        and(wire_nliiOiO_dataout, wire_nliiOll_o[1], ~(nl0O10i));
8733
        and(wire_nliiOli_dataout, wire_nliiOll_o[2], ~(nl0O10i));
8734
        and(wire_nlil00i_dataout, wire_nlil0li_o[0], ~(nl0O10l));
8735
        and(wire_nlil00l_dataout, wire_nlil0li_o[1], ~(nl0O10l));
8736
        and(wire_nlil00O_dataout, wire_nlil0li_o[2], ~(nl0O10l));
8737
        and(wire_nlil0ii_dataout, wire_nlil0li_o[3], ~(nl0O10l));
8738
        and(wire_nlil0il_dataout, wire_nlil0li_o[4], ~(nl0O10l));
8739
        and(wire_nlil0iO_dataout, wire_nlil0li_o[5], ~(nl0O10l));
8740
        and(wire_nlil0ll_dataout, wire_nlil0Ol_o[0], ~(nl0O10O));
8741
        and(wire_nlil0lO_dataout, wire_nlil0Ol_o[1], ~(nl0O10O));
8742
        and(wire_nlil0Oi_dataout, wire_nlil0Ol_o[2], ~(nl0O10O));
8743
        or(wire_nlil10l_dataout, wire_nlil10O_dataout, nlil0OO);
8744
        or(wire_nlil10O_dataout, (((~ nlil0OO) & (~ nlil01O)) & nl0O10l), (((~ nlil0OO) & nlil01O) & nl0O10O));
8745
        or(wire_nlilli_dataout, wire_nlilll_dataout, (nll1OO & (~ nlilii)));
8746
        and(wire_nlilll_dataout, nlil0i, ~(((~ nll1OO) & nlil0i)));
8747
        and(wire_nlilOO_dataout, wire_nliO1i_dataout, ~((nll1iO & (nli1Oll & (~ nlO1iO)))));
8748
        or(wire_nliO1i_dataout, nlilii, (nll1OO & nlil0i));
8749
        assign          wire_nliOll_dataout = (nl00ll === 1'b1) ? wire_nliOlO_dataout : wire_nliOOO_dataout;
8750
        and(wire_nliOlO_dataout, nliOiO, ((~ nll10l) & ((~ nl0i1i) & (~ nl00Oi))));
8751
        and(wire_nliOlOl_dataout, wire_nliOlOO_dataout, ~(wire_nliliil_dout));
8752
        or(wire_nliOlOO_dataout, wire_nliOO1i_dataout, wire_nlO0O1i_o);
8753
        and(wire_nliOO0i_dataout, wire_nliliii_dout, ~(wire_nliliil_dout));
8754
        and(wire_nliOO0O_dataout, wire_nliOOii_dataout, ~(wire_nliliil_dout));
8755
        and(wire_nliOO1i_dataout, nll010l, ~((wire_nlO0Oii_o | (wire_nlO0OiO_o | wire_nlO0O0l_o))));
8756
        or(wire_nliOOii_dataout, wire_nliOOil_dataout, niO1i);
8757
        and(wire_nliOOil_dataout, nliOlOi, ~(nl0O1ii));
8758
        and(wire_nliOOO_dataout, nliOiO, ~(nll10l));
8759
        and(wire_nll000i_dataout, wire_nll00ii_dataout, ~(wire_nliliil_dout));
8760
        and(wire_nll000l_dataout, wire_nll00il_dataout, ~(wire_nliliil_dout));
8761
        assign          wire_nll000O_dataout = (nl0O1il === 1'b1) ? wire_nll00OO_o[0] : wire_nll00iO_dataout;
8762
        and(wire_nll001O_dataout, wire_nll000O_dataout, ~(wire_nliliil_dout));
8763
        assign          wire_nll00ii_dataout = (nl0O1il === 1'b1) ? wire_nll00OO_o[1] : wire_nll00li_dataout;
8764
        assign          wire_nll00il_dataout = (nl0O1il === 1'b1) ? wire_nll00OO_o[2] : wire_nll00ll_dataout;
8765
        and(wire_nll00iO_dataout, wire_nll00lO_dataout, ~((~ nlOl10i)));
8766
        and(wire_nll00li_dataout, wire_nll00Oi_dataout, ~((~ nlOl10i)));
8767
        and(wire_nll00ll_dataout, wire_nll00Ol_dataout, ~((~ nlOl10i)));
8768
        and(wire_nll00lO_dataout, nll01lO, ~(nlOlOlO));
8769
        and(wire_nll00Oi_dataout, nll01OO, ~(nlOlOlO));
8770
        and(wire_nll00Ol_dataout, nll001i, ~(nlOlOlO));
8771
        assign          wire_nll011i_dataout = (nliOlOi === 1'b1) ? wire_nll010i_o[18] : nll11lO;
8772
        assign          wire_nll011l_dataout = (nliOlOi === 1'b1) ? wire_nll010i_o[19] : nll11Oi;
8773
        assign          wire_nll011O_dataout = (nliOlOi === 1'b1) ? wire_nll010i_o[20] : nll11Ol;
8774
        and(wire_nll01ii_dataout, wire_nlO0llO_o, ~(wire_nliliil_dout));
8775
        and(wire_nll01iO_dataout, wire_nll01li_dataout, ~(wire_nliliil_dout));
8776
        or(wire_nll01li_dataout, wire_nll01ll_dataout, wire_nlO0O1O_o);
8777
        and(wire_nll01ll_dataout, nll010O, ~(wire_nlO0Oii_o));
8778
        and(wire_nll01Oi_dataout, ((~ nl0O1OO) & nl0O1iO), ~(wire_nliliil_dout));
8779
        and(wire_nll0i1O_dataout, (nll0i0l | wire_nliliiO_dout), ~(wire_nliliil_dout));
8780
        and(wire_nll0iii_dataout, (nlOi1lO | nlOi1ll), ~(wire_nliliil_dout));
8781
        and(wire_nll0iil_dataout, (nlOi1ll | nlO101l), ~(wire_nliliil_dout));
8782
        and(wire_nll0llO_dataout, wire_nll0OOi_dataout, ~(wire_nliliil_dout));
8783
        and(wire_nll0lOi_dataout, wire_nll0OOl_dataout, ~(wire_nliliil_dout));
8784
        and(wire_nll0lOl_dataout, wire_nll0OOO_dataout, ~(wire_nliliil_dout));
8785
        and(wire_nll0lOO_dataout, wire_nlli11i_dataout, ~(wire_nliliil_dout));
8786
        and(wire_nll0O0i_dataout, wire_nlli10l_dataout, ~(wire_nliliil_dout));
8787
        and(wire_nll0O0l_dataout, wire_nlli10O_dataout, ~(wire_nliliil_dout));
8788
        and(wire_nll0O0O_dataout, wire_nlli1ii_dataout, ~(wire_nliliil_dout));
8789
        and(wire_nll0O1i_dataout, wire_nlli11l_dataout, ~(wire_nliliil_dout));
8790
        and(wire_nll0O1l_dataout, wire_nlli11O_dataout, ~(wire_nliliil_dout));
8791
        and(wire_nll0O1O_dataout, wire_nlli10i_dataout, ~(wire_nliliil_dout));
8792
        and(wire_nll0Oii_dataout, wire_nlli1il_dataout, ~(wire_nliliil_dout));
8793
        and(wire_nll0Oil_dataout, wire_nlli1iO_dataout, ~(wire_nliliil_dout));
8794
        and(wire_nll0OiO_dataout, wire_nlli1li_dataout, ~(wire_nliliil_dout));
8795
        and(wire_nll0Oli_dataout, wire_nlli1ll_dataout, ~(wire_nliliil_dout));
8796
        and(wire_nll0Oll_dataout, wire_nlli1lO_dataout, ~(wire_nliliil_dout));
8797
        and(wire_nll0OlO_dataout, wire_nlli1Oi_dataout, ~(wire_nliliil_dout));
8798
        and(wire_nll0OOi_dataout, wire_nlli1Ol_dataout, ~(nlOi01l));
8799
        and(wire_nll0OOl_dataout, wire_nlli1OO_dataout, ~(nlOi01l));
8800
        and(wire_nll0OOO_dataout, wire_nlli01i_dataout, ~(nlOi01l));
8801
        and(wire_nll100i_dataout, wire_nll1ili_dataout, ~(wire_nliliil_dout));
8802
        and(wire_nll100l_dataout, wire_nll1ill_dataout, ~(wire_nliliil_dout));
8803
        and(wire_nll100O_dataout, wire_nll1ilO_dataout, ~(wire_nliliil_dout));
8804
        and(wire_nll101i_dataout, wire_nll1iii_dataout, ~(wire_nliliil_dout));
8805
        and(wire_nll101l_dataout, wire_nll1iil_dataout, ~(wire_nliliil_dout));
8806
        and(wire_nll101O_dataout, wire_nll1iiO_dataout, ~(wire_nliliil_dout));
8807
        and(wire_nll10ii_dataout, wire_nll1iOi_dataout, ~(wire_nliliil_dout));
8808
        and(wire_nll10il_dataout, wire_nll1iOl_dataout, ~(wire_nliliil_dout));
8809
        and(wire_nll10iO_dataout, wire_nll1iOO_dataout, ~(wire_nliliil_dout));
8810
        and(wire_nll10li_dataout, wire_nll1l1i_dataout, ~(wire_nliliil_dout));
8811
        and(wire_nll10ll_dataout, wire_nll1l1l_dataout, ~(wire_nliliil_dout));
8812
        and(wire_nll10lO_dataout, wire_nll1l1O_dataout, ~(wire_nliliil_dout));
8813
        and(wire_nll10Oi_dataout, wire_nll1l0i_dataout, ~(wire_nliliil_dout));
8814
        and(wire_nll10Ol_dataout, wire_nll1l0l_dataout, ~(wire_nliliil_dout));
8815
        and(wire_nll10OO_dataout, wire_nll1l0O_dataout, ~(wire_nliliil_dout));
8816
        and(wire_nll1i0i_dataout, wire_nll1lli_dataout, ~(wire_nliliil_dout));
8817
        and(wire_nll1i0l_dataout, wire_nll1lll_dataout, ~(wire_nliliil_dout));
8818
        and(wire_nll1i0O_dataout, wire_nll1llO_dataout, ~(wire_nliliil_dout));
8819
        and(wire_nll1i1i_dataout, wire_nll1lii_dataout, ~(wire_nliliil_dout));
8820
        and(wire_nll1i1l_dataout, wire_nll1lil_dataout, ~(wire_nliliil_dout));
8821
        and(wire_nll1i1O_dataout, wire_nll1liO_dataout, ~(wire_nliliil_dout));
8822
        and(wire_nll1iii_dataout, wire_nll1lOi_dataout, ~(niO1i));
8823
        and(wire_nll1iil_dataout, wire_nll1lOl_dataout, ~(niO1i));
8824
        and(wire_nll1iiO_dataout, wire_nll1lOO_dataout, ~(niO1i));
8825
        and(wire_nll1ili_dataout, wire_nll1O1i_dataout, ~(niO1i));
8826
        and(wire_nll1ill_dataout, wire_nll1O1l_dataout, ~(niO1i));
8827
        and(wire_nll1ilO_dataout, wire_nll1O1O_dataout, ~(niO1i));
8828
        and(wire_nll1iOi_dataout, wire_nll1O0i_dataout, ~(niO1i));
8829
        and(wire_nll1iOl_dataout, wire_nll1O0l_dataout, ~(niO1i));
8830
        and(wire_nll1iOO_dataout, wire_nll1O0O_dataout, ~(niO1i));
8831
        and(wire_nll1l0i_dataout, wire_nll1Oli_dataout, ~(niO1i));
8832
        and(wire_nll1l0l_dataout, wire_nll1Oll_dataout, ~(niO1i));
8833
        and(wire_nll1l0O_dataout, wire_nll1OlO_dataout, ~(niO1i));
8834
        and(wire_nll1l1i_dataout, wire_nll1Oii_dataout, ~(niO1i));
8835
        and(wire_nll1l1l_dataout, wire_nll1Oil_dataout, ~(niO1i));
8836
        and(wire_nll1l1O_dataout, wire_nll1OiO_dataout, ~(niO1i));
8837
        and(wire_nll1li_dataout, wire_nll1ll_dataout, ~(nll11OO));
8838
        and(wire_nll1lii_dataout, wire_nll1OOi_dataout, ~(niO1i));
8839
        and(wire_nll1lil_dataout, wire_nll1OOl_dataout, ~(niO1i));
8840
        and(wire_nll1liO_dataout, wire_nll1OOO_dataout, ~(niO1i));
8841
        assign          wire_nll1ll_dataout = (nli1OOO === 1'b1) ? nlO0li : nll11i;
8842
        and(wire_nll1lli_dataout, wire_nll011i_dataout, ~(niO1i));
8843
        and(wire_nll1lll_dataout, wire_nll011l_dataout, ~(niO1i));
8844
        and(wire_nll1llO_dataout, wire_nll011O_dataout, ~(niO1i));
8845
        and(wire_nll1lO_dataout, wire_nll1Oi_dataout, ~(nll1il));
8846
        assign          wire_nll1lOi_dataout = (nliOlOi === 1'b1) ? wire_nll010i_o[0] : nliOO0l;
8847
        assign          wire_nll1lOl_dataout = (nliOlOi === 1'b1) ? wire_nll010i_o[1] : nliOOli;
8848
        assign          wire_nll1lOO_dataout = (nliOlOi === 1'b1) ? wire_nll010i_o[2] : nliOOll;
8849
        assign          wire_nll1O0i_dataout = (nliOlOi === 1'b1) ? wire_nll010i_o[6] : nliOOOO;
8850
        assign          wire_nll1O0l_dataout = (nliOlOi === 1'b1) ? wire_nll010i_o[7] : nll111i;
8851
        assign          wire_nll1O0O_dataout = (nliOlOi === 1'b1) ? wire_nll010i_o[8] : nll111l;
8852
        assign          wire_nll1O1i_dataout = (nliOlOi === 1'b1) ? wire_nll010i_o[3] : nliOOlO;
8853
        assign          wire_nll1O1l_dataout = (nliOlOi === 1'b1) ? wire_nll010i_o[4] : nliOOOi;
8854
        assign          wire_nll1O1O_dataout = (nliOlOi === 1'b1) ? wire_nll010i_o[5] : nliOOOl;
8855
        assign          wire_nll1Oi_dataout = (nli1OOO === 1'b1) ? nlOi1i : nll1il;
8856
        assign          wire_nll1Oii_dataout = (nliOlOi === 1'b1) ? wire_nll010i_o[9] : nll111O;
8857
        assign          wire_nll1Oil_dataout = (nliOlOi === 1'b1) ? wire_nll010i_o[10] : nll110i;
8858
        assign          wire_nll1OiO_dataout = (nliOlOi === 1'b1) ? wire_nll010i_o[11] : nll110l;
8859
        assign          wire_nll1Oli_dataout = (nliOlOi === 1'b1) ? wire_nll010i_o[12] : nll110O;
8860
        assign          wire_nll1Oll_dataout = (nliOlOi === 1'b1) ? wire_nll010i_o[13] : nll11ii;
8861
        assign          wire_nll1OlO_dataout = (nliOlOi === 1'b1) ? wire_nll010i_o[14] : nll11il;
8862
        assign          wire_nll1OOi_dataout = (nliOlOi === 1'b1) ? wire_nll010i_o[15] : nll11iO;
8863
        assign          wire_nll1OOl_dataout = (nliOlOi === 1'b1) ? wire_nll010i_o[16] : nll11li;
8864
        assign          wire_nll1OOO_dataout = (nliOlOi === 1'b1) ? wire_nll010i_o[17] : nll11ll;
8865
        assign          wire_nlli00i_dataout = (wire_nlO0O0l_o === 1'b1) ? wire_nlii0l_dataout : wire_nllii0l_dataout;
8866
        assign          wire_nlli00l_dataout = (wire_nlO0O0l_o === 1'b1) ? wire_nlii0O_dataout : wire_nllii0O_dataout;
8867
        assign          wire_nlli00O_dataout = (wire_nlO0O0l_o === 1'b1) ? wire_nliiii_dataout : wire_nlliiii_dataout;
8868
        and(wire_nlli01i_dataout, wire_nllii1l_dataout, ~(wire_nlO0O0l_o));
8869
        and(wire_nlli01l_dataout, wire_nllii1O_dataout, ~(wire_nlO0O0l_o));
8870
        and(wire_nlli01O_dataout, wire_nllii0i_dataout, ~(wire_nlO0O0l_o));
8871
        or(wire_nlli0i_dataout, nll0Ol, nli010O);
8872
        assign          wire_nlli0ii_dataout = (wire_nlO0O0l_o === 1'b1) ? wire_nliiil_dataout : wire_nlliiil_dataout;
8873
        and(wire_nlli0il_dataout, wire_nlliiiO_dataout, ~(wire_nlO0O0l_o));
8874
        and(wire_nlli0iO_dataout, wire_nlliili_dataout, ~(wire_nlO0O0l_o));
8875
        and(wire_nlli0li_dataout, wire_nlliill_dataout, ~(wire_nlO0O0l_o));
8876
        assign          wire_nlli0ll_dataout = (wire_nlO0O0l_o === 1'b1) ? wire_nliiiO_dataout : wire_nlliilO_dataout;
8877
        assign          wire_nlli0lO_dataout = (wire_nlO0O0l_o === 1'b1) ? wire_nliili_dataout : wire_nlliiOi_dataout;
8878
        and(wire_nlli0Oi_dataout, wire_nlliiOl_dataout, ~(wire_nlO0O0l_o));
8879
        and(wire_nlli0Ol_dataout, wire_nlliiOO_dataout, ~(wire_nlO0O0l_o));
8880
        assign          wire_nlli0OO_dataout = (wire_nlO0O1O_o === 1'b1) ? nl00ll : nll0i0O;
8881
        and(wire_nlli10i_dataout, wire_nlli00l_dataout, ~(nlOi01l));
8882
        and(wire_nlli10l_dataout, wire_nlli00O_dataout, ~(nlOi01l));
8883
        and(wire_nlli10O_dataout, wire_nlli0ii_dataout, ~(nlOi01l));
8884
        and(wire_nlli11i_dataout, wire_nlli01l_dataout, ~(nlOi01l));
8885
        and(wire_nlli11l_dataout, wire_nlli01O_dataout, ~(nlOi01l));
8886
        and(wire_nlli11O_dataout, wire_nlli00i_dataout, ~(nlOi01l));
8887
        and(wire_nlli1ii_dataout, wire_nlli0il_dataout, ~(nlOi01l));
8888
        and(wire_nlli1il_dataout, wire_nlli0iO_dataout, ~(nlOi01l));
8889
        and(wire_nlli1iO_dataout, wire_nlli0li_dataout, ~(nlOi01l));
8890
        and(wire_nlli1li_dataout, wire_nlli0ll_dataout, ~(nlOi01l));
8891
        and(wire_nlli1ll_dataout, wire_nlli0lO_dataout, ~(nlOi01l));
8892
        and(wire_nlli1lO_dataout, wire_nlli0Oi_dataout, ~(nlOi01l));
8893
        and(wire_nlli1Oi_dataout, wire_nlli0Ol_dataout, ~(nlOi01l));
8894
        assign          wire_nlli1Ol_dataout = (wire_nlO0O0l_o === 1'b1) ? nl00ll : wire_nlli0OO_dataout;
8895
        and(wire_nlli1OO_dataout, wire_nllii1i_dataout, ~(wire_nlO0O0l_o));
8896
        and(wire_nllii0i_dataout, nll0iOl, ~(wire_nlO0O1O_o));
8897
        assign          wire_nllii0l_dataout = (wire_nlO0O1O_o === 1'b1) ? wire_nlii0l_dataout : nll0iOO;
8898
        assign          wire_nllii0O_dataout = (wire_nlO0O1O_o === 1'b1) ? wire_nlii0O_dataout : nll0l1i;
8899
        and(wire_nllii1i_dataout, nll0ill, ~(wire_nlO0O1O_o));
8900
        and(wire_nllii1l_dataout, nll0ilO, ~(wire_nlO0O1O_o));
8901
        and(wire_nllii1O_dataout, nll0iOi, ~(wire_nlO0O1O_o));
8902
        assign          wire_nlliiii_dataout = (wire_nlO0O1O_o === 1'b1) ? wire_nliiii_dataout : nll0l1l;
8903
        assign          wire_nlliiil_dataout = (wire_nlO0O1O_o === 1'b1) ? wire_nliiil_dataout : nll0l1O;
8904
        and(wire_nlliiiO_dataout, nll0l0i, ~(wire_nlO0O1O_o));
8905
        and(wire_nlliili_dataout, nll0l0l, ~(wire_nlO0O1O_o));
8906
        and(wire_nlliill_dataout, nll0l0O, ~(wire_nlO0O1O_o));
8907
        assign          wire_nlliilO_dataout = (wire_nlO0O1O_o === 1'b1) ? wire_nliiiO_dataout : nll0lii;
8908
        assign          wire_nlliiOi_dataout = (wire_nlO0O1O_o === 1'b1) ? wire_nliili_dataout : nll0lil;
8909
        or(wire_nlliiOl_dataout, nll0liO, wire_nlO0O1O_o);
8910
        and(wire_nlliiOO_dataout, nll0lli, ~(wire_nlO0O1O_o));
8911
        and(wire_nllil1l_dataout, wire_nllil1O_dataout, ~(wire_nliliil_dout));
8912
        and(wire_nllil1O_dataout, nl0O1li, ~((nlOi01l | nl0O1Oi)));
8913
        and(wire_nlliOll_dataout, wire_nlll01l_dataout, ~(wire_nliliil_dout));
8914
        and(wire_nlliOlO_dataout, wire_nlll01O_dataout, ~(wire_nliliil_dout));
8915
        and(wire_nlliOOi_dataout, wire_nlll00i_dataout, ~(wire_nliliil_dout));
8916
        and(wire_nlliOOl_dataout, wire_nlll00l_dataout, ~(wire_nliliil_dout));
8917
        and(wire_nlliOOO_dataout, wire_nlll00O_dataout, ~(wire_nliliil_dout));
8918
        and(wire_nlll00i_dataout, wire_nlllili_dataout, ~(nl0O1lO));
8919
        and(wire_nlll00l_dataout, wire_nlllill_dataout, ~(nl0O1lO));
8920
        and(wire_nlll00O_dataout, wire_nlllilO_dataout, ~(nl0O1lO));
8921
        and(wire_nlll01i_dataout, wire_nllliii_dataout, ~(wire_nliliil_dout));
8922
        and(wire_nlll01l_dataout, wire_nllliil_dataout, ~(nl0O1lO));
8923
        and(wire_nlll01O_dataout, wire_nllliiO_dataout, ~(nl0O1lO));
8924
        and(wire_nlll0ii_dataout, wire_nllliOi_dataout, ~(nl0O1lO));
8925
        and(wire_nlll0il_dataout, wire_nllliOl_dataout, ~(nl0O1lO));
8926
        and(wire_nlll0iO_dataout, wire_nllliOO_dataout, ~(nl0O1lO));
8927
        and(wire_nlll0li_dataout, wire_nllll1i_dataout, ~(nl0O1lO));
8928
        and(wire_nlll0ll_dataout, wire_nllll1l_dataout, ~(nl0O1lO));
8929
        and(wire_nlll0lO_dataout, wire_nllll1O_dataout, ~(nl0O1lO));
8930
        and(wire_nlll0Oi_dataout, wire_nllll0i_dataout, ~(nl0O1lO));
8931
        and(wire_nlll0Ol_dataout, wire_nllll0l_dataout, ~(nl0O1lO));
8932
        and(wire_nlll0OO_dataout, wire_nllll0O_dataout, ~(nl0O1lO));
8933
        and(wire_nlll10i_dataout, wire_nlll0li_dataout, ~(wire_nliliil_dout));
8934
        and(wire_nlll10l_dataout, wire_nlll0ll_dataout, ~(wire_nliliil_dout));
8935
        and(wire_nlll10O_dataout, wire_nlll0lO_dataout, ~(wire_nliliil_dout));
8936
        and(wire_nlll11i_dataout, wire_nlll0ii_dataout, ~(wire_nliliil_dout));
8937
        and(wire_nlll11l_dataout, wire_nlll0il_dataout, ~(wire_nliliil_dout));
8938
        and(wire_nlll11O_dataout, wire_nlll0iO_dataout, ~(wire_nliliil_dout));
8939
        or(wire_nlll1i_dataout, nllill, nli010O);
8940
        and(wire_nlll1ii_dataout, wire_nlll0Oi_dataout, ~(wire_nliliil_dout));
8941
        and(wire_nlll1il_dataout, wire_nlll0Ol_dataout, ~(wire_nliliil_dout));
8942
        and(wire_nlll1iO_dataout, wire_nlll0OO_dataout, ~(wire_nliliil_dout));
8943
        and(wire_nlll1li_dataout, wire_nllli1i_dataout, ~(wire_nliliil_dout));
8944
        and(wire_nlll1ll_dataout, wire_nllli1l_dataout, ~(wire_nliliil_dout));
8945
        and(wire_nlll1lO_dataout, wire_nllli1O_dataout, ~(wire_nliliil_dout));
8946
        and(wire_nlll1Oi_dataout, wire_nllli0i_dataout, ~(wire_nliliil_dout));
8947
        and(wire_nlll1Ol_dataout, wire_nllli0l_dataout, ~(wire_nliliil_dout));
8948
        and(wire_nlll1OO_dataout, wire_nllli0O_dataout, ~(wire_nliliil_dout));
8949
        and(wire_nllli0i_dataout, wire_nllllli_dataout, ~(nl0O1lO));
8950
        and(wire_nllli0l_dataout, wire_nllllll_dataout, ~(nl0O1lO));
8951
        and(wire_nllli0O_dataout, wire_nlllllO_dataout, ~(nl0O1lO));
8952
        and(wire_nllli1i_dataout, wire_nllllii_dataout, ~(nl0O1lO));
8953
        and(wire_nllli1l_dataout, wire_nllllil_dataout, ~(nl0O1lO));
8954
        and(wire_nllli1O_dataout, wire_nlllliO_dataout, ~(nl0O1lO));
8955
        and(wire_nllliii_dataout, wire_nllllOi_dataout, ~(nl0O1lO));
8956
        assign          wire_nllliil_dataout = (nl0O1ll === 1'b1) ? wire_nllllOl_dataout : nllil1i;
8957
        assign          wire_nllliiO_dataout = (nl0O1ll === 1'b1) ? wire_nllllOO_dataout : nllil0l;
8958
        assign          wire_nlllili_dataout = (nl0O1ll === 1'b1) ? wire_nlllO1i_dataout : nllil0O;
8959
        assign          wire_nlllill_dataout = (nl0O1ll === 1'b1) ? wire_nlllO1l_dataout : nllilii;
8960
        assign          wire_nlllilO_dataout = (nl0O1ll === 1'b1) ? wire_nlllO1O_dataout : nllilil;
8961
        assign          wire_nllliOi_dataout = (nl0O1ll === 1'b1) ? wire_nlllO0i_dataout : nlliliO;
8962
        assign          wire_nllliOl_dataout = (nl0O1ll === 1'b1) ? wire_nlllO0l_dataout : nllilli;
8963
        assign          wire_nllliOO_dataout = (nl0O1ll === 1'b1) ? wire_nlllO0O_dataout : nllilll;
8964
        assign          wire_nllll0i_dataout = (nl0O1ll === 1'b1) ? wire_nlllOli_dataout : nllilOO;
8965
        assign          wire_nllll0l_dataout = (nl0O1ll === 1'b1) ? wire_nlllOll_dataout : nlliO1i;
8966
        assign          wire_nllll0O_dataout = (nl0O1ll === 1'b1) ? wire_nlllOlO_dataout : nlliO1l;
8967
        assign          wire_nllll1i_dataout = (nl0O1ll === 1'b1) ? wire_nlllOii_dataout : nllillO;
8968
        assign          wire_nllll1l_dataout = (nl0O1ll === 1'b1) ? wire_nlllOil_dataout : nllilOi;
8969
        assign          wire_nllll1O_dataout = (nl0O1ll === 1'b1) ? wire_nlllOiO_dataout : nllilOl;
8970
        assign          wire_nllllii_dataout = (nl0O1ll === 1'b1) ? wire_nlllOOi_dataout : nlliO1O;
8971
        assign          wire_nllllil_dataout = (nl0O1ll === 1'b1) ? wire_nlllOOl_dataout : nlliO0i;
8972
        assign          wire_nlllliO_dataout = (nl0O1ll === 1'b1) ? wire_nlllOOO_dataout : nlliO0l;
8973
        assign          wire_nllllli_dataout = (nl0O1ll === 1'b1) ? wire_nllO11i_dataout : nlliO0O;
8974
        assign          wire_nllllll_dataout = (nl0O1ll === 1'b1) ? wire_nllO11l_dataout : nlliOii;
8975
        assign          wire_nlllllO_dataout = (nl0O1ll === 1'b1) ? wire_nllO11O_dataout : nlliOil;
8976
        assign          wire_nllllOi_dataout = (nl0O1ll === 1'b1) ? wire_nllO10i_dataout : nlliOiO;
8977
        assign          wire_nllllOl_dataout = ((~ nll0lll) === 1'b1) ? wire_nllO10l_o[0] : nllil1i;
8978
        assign          wire_nllllOO_dataout = ((~ nll0lll) === 1'b1) ? wire_nllO10l_o[1] : nllil0l;
8979
        assign          wire_nlllO0i_dataout = ((~ nll0lll) === 1'b1) ? wire_nllO10l_o[5] : nlliliO;
8980
        assign          wire_nlllO0l_dataout = ((~ nll0lll) === 1'b1) ? wire_nllO10l_o[6] : nllilli;
8981
        assign          wire_nlllO0O_dataout = ((~ nll0lll) === 1'b1) ? wire_nllO10l_o[7] : nllilll;
8982
        assign          wire_nlllO1i_dataout = ((~ nll0lll) === 1'b1) ? wire_nllO10l_o[2] : nllil0O;
8983
        assign          wire_nlllO1l_dataout = ((~ nll0lll) === 1'b1) ? wire_nllO10l_o[3] : nllilii;
8984
        assign          wire_nlllO1O_dataout = ((~ nll0lll) === 1'b1) ? wire_nllO10l_o[4] : nllilil;
8985
        and(wire_nlllOi_dataout, wire_nllO0O_o[0], nli01ii);
8986
        assign          wire_nlllOii_dataout = ((~ nll0lll) === 1'b1) ? wire_nllO10l_o[8] : nllillO;
8987
        assign          wire_nlllOil_dataout = ((~ nll0lll) === 1'b1) ? wire_nllO10l_o[9] : nllilOi;
8988
        assign          wire_nlllOiO_dataout = ((~ nll0lll) === 1'b1) ? wire_nllO10l_o[10] : nllilOl;
8989
        and(wire_nlllOl_dataout, wire_nllO0O_o[1], nli01ii);
8990
        assign          wire_nlllOli_dataout = ((~ nll0lll) === 1'b1) ? wire_nllO10l_o[11] : nllilOO;
8991
        assign          wire_nlllOll_dataout = ((~ nll0lll) === 1'b1) ? wire_nllO10l_o[12] : nlliO1i;
8992
        assign          wire_nlllOlO_dataout = ((~ nll0lll) === 1'b1) ? wire_nllO10l_o[13] : nlliO1l;
8993
        and(wire_nlllOO_dataout, wire_nllO0O_o[2], nli01ii);
8994
        assign          wire_nlllOOi_dataout = ((~ nll0lll) === 1'b1) ? wire_nllO10l_o[14] : nlliO1O;
8995
        assign          wire_nlllOOl_dataout = ((~ nll0lll) === 1'b1) ? wire_nllO10l_o[15] : nlliO0i;
8996
        assign          wire_nlllOOO_dataout = ((~ nll0lll) === 1'b1) ? wire_nllO10l_o[16] : nlliO0l;
8997
        and(wire_nllO01i_dataout, ((~ nl0O1OO) & (nl0O00i & nl0O01i)), ~(wire_nliliil_dout));
8998
        and(wire_nllO01l_dataout, ((~ nl0O1OO) & nl0O00i), ~(wire_nliliil_dout));
8999
        and(wire_nllO0i_dataout, wire_nllO0O_o[6], nli01ii);
9000
        and(wire_nllO0l_dataout, wire_nllO0O_o[7], nli01ii);
9001
        assign          wire_nllO10i_dataout = ((~ nll0lll) === 1'b1) ? wire_nllO10l_o[20] : nlliOiO;
9002
        assign          wire_nllO11i_dataout = ((~ nll0lll) === 1'b1) ? wire_nllO10l_o[17] : nlliO0O;
9003
        assign          wire_nllO11l_dataout = ((~ nll0lll) === 1'b1) ? wire_nllO10l_o[18] : nlliOii;
9004
        assign          wire_nllO11O_dataout = ((~ nll0lll) === 1'b1) ? wire_nllO10l_o[19] : nlliOil;
9005
        and(wire_nllO1i_dataout, wire_nllO0O_o[3], nli01ii);
9006
        and(wire_nllO1l_dataout, wire_nllO0O_o[4], nli01ii);
9007
        and(wire_nllO1O_dataout, wire_nllO0O_o[5], nli01ii);
9008
        and(wire_nllO1OO_dataout, ((~ (nlO101i ^ nllOOOl)) & nl0O1Ol), ~(wire_nliliil_dout));
9009
        and(wire_nllOi0O_dataout, wire_nllOili_dataout, ~(wire_nliliil_dout));
9010
        and(wire_nllOiii_dataout, wire_nllOill_dataout, ~(wire_nliliil_dout));
9011
        and(wire_nllOiil_dataout, wire_nllOl0l_dataout, ~(wire_nliliil_dout));
9012
        and(wire_nllOiiO_dataout, wire_nllOl0O_dataout, ~(wire_nliliil_dout));
9013
        assign          wire_nllOili_dataout = (nlOlOlO === 1'b1) ? wire_nllOiOl_dataout : wire_nllOilO_dataout;
9014
        assign          wire_nllOill_dataout = (nlOlOlO === 1'b1) ? wire_nllOiOO_dataout : wire_nllOiOi_dataout;
9015
        and(wire_nllOilO_dataout, nllO1Ol, ~(nlOl10i));
9016
        and(wire_nllOiOi_dataout, nllOi1l, ~(nlOl10i));
9017
        and(wire_nllOiOl_dataout, wire_nllOl1i_dataout, nl0O01l);
9018
        and(wire_nllOiOO_dataout, wire_nllOl1l_dataout, nl0O01l);
9019
        assign          wire_nllOl0l_dataout = (nlOlOlO === 1'b1) ? wire_nllOliO_dataout : wire_nllOlii_dataout;
9020
        assign          wire_nllOl0O_dataout = (nlOlOlO === 1'b1) ? wire_nllOlli_dataout : wire_nllOlil_dataout;
9021
        assign          wire_nllOl1i_dataout = ((~ nl0O01i) === 1'b1) ? wire_nllOl1O_o[0] : nllO1Ol;
9022
        assign          wire_nllOl1l_dataout = ((~ nl0O01i) === 1'b1) ? wire_nllOl1O_o[1] : nllOi1l;
9023
        and(wire_nllOlii_dataout, nllOi1O, ~(nlOl10i));
9024
        and(wire_nllOlil_dataout, nllOi0i, ~(nlOl10i));
9025
        and(wire_nllOliO_dataout, wire_nllOlll_dataout, nl0O00l);
9026
        and(wire_nllOlli_dataout, wire_nllOllO_dataout, nl0O00l);
9027
        assign          wire_nllOlll_dataout = ((~ nl0O00i) === 1'b1) ? wire_nllOlOi_o[0] : nllOi1O;
9028
        assign          wire_nllOllO_dataout = ((~ nl0O00i) === 1'b1) ? wire_nllOlOi_o[1] : nllOi0i;
9029
        assign          wire_nlO000i_dataout = (nl0O0il === 1'b1) ? nlO11Oi : nllOOlO;
9030
        assign          wire_nlO000l_dataout = (nl0O0il === 1'b1) ? nlO11Ol : nllOOOi;
9031
        assign          wire_nlO000O_dataout = (nl0O0il === 1'b1) ? nlO101i : nllOOOl;
9032
        assign          wire_nlO001i_dataout = (nl0O0il === 1'b1) ? nlO11li : nllOOiO;
9033
        assign          wire_nlO001l_dataout = (nl0O0il === 1'b1) ? nlO11ll : nllOOli;
9034
        assign          wire_nlO001O_dataout = (nl0O0il === 1'b1) ? nlO11lO : nllOOll;
9035
        and(wire_nlO00il_dataout, wire_nlO0iiO_dataout, ~(nlOl10i));
9036
        and(wire_nlO00iO_dataout, wire_nlO0ili_dataout, ~(nlOl10i));
9037
        and(wire_nlO00li_dataout, wire_nlO0ill_dataout, ~(nlOl10i));
9038
        and(wire_nlO00ll_dataout, wire_nlO0ilO_dataout, ~(nlOl10i));
9039
        and(wire_nlO00lO_dataout, wire_nlO0iOi_dataout, ~(nlOl10i));
9040
        and(wire_nlO00Oi_dataout, wire_nlO0iOl_dataout, ~(nlOl10i));
9041
        and(wire_nlO00Ol_dataout, wire_nlO0iOO_dataout, ~(nlOl10i));
9042
        and(wire_nlO00OO_dataout, wire_nlO0l1i_dataout, ~(nlOl10i));
9043
        and(wire_nlO010i_dataout, wire_nlO0i0O_dataout, ~(nlOi01l));
9044
        and(wire_nlO010l_dataout, wire_nlO0iii_dataout, ~(nlOi01l));
9045
        and(wire_nlO010O_dataout, wire_nlO0iil_dataout, ~(nlOi01l));
9046
        and(wire_nlO011i_dataout, wire_nlO0i1O_dataout, ~(nlOi01l));
9047
        and(wire_nlO011l_dataout, wire_nlO0i0i_dataout, ~(nlOi01l));
9048
        and(wire_nlO011O_dataout, wire_nlO0i0l_dataout, ~(nlOi01l));
9049
        assign          wire_nlO01ii_dataout = (nl0O0il === 1'b1) ? nlO111i : nllOi0l;
9050
        assign          wire_nlO01il_dataout = (nl0O0il === 1'b1) ? nlO111l : nllOO1i;
9051
        assign          wire_nlO01iO_dataout = (nl0O0il === 1'b1) ? nlO111O : nllOO1l;
9052
        assign          wire_nlO01li_dataout = (nl0O0il === 1'b1) ? nlO110i : nllOO1O;
9053
        assign          wire_nlO01ll_dataout = (nl0O0il === 1'b1) ? nlO110l : nllOO0i;
9054
        assign          wire_nlO01lO_dataout = (nl0O0il === 1'b1) ? nlO110O : nllOO0l;
9055
        assign          wire_nlO01Oi_dataout = (nl0O0il === 1'b1) ? nlO11ii : nllOO0O;
9056
        assign          wire_nlO01Ol_dataout = (nl0O0il === 1'b1) ? nlO11il : nllOOii;
9057
        assign          wire_nlO01OO_dataout = (nl0O0il === 1'b1) ? nlO11iO : nllOOil;
9058
        and(wire_nlO0i0i_dataout, wire_nlO0l0l_dataout, ~(nlOl10i));
9059
        and(wire_nlO0i0l_dataout, wire_nlO0l0O_dataout, ~(nlOl10i));
9060
        and(wire_nlO0i0O_dataout, wire_nlO0lii_dataout, ~(nlOl10i));
9061
        and(wire_nlO0i1i_dataout, wire_nlO0l1l_dataout, ~(nlOl10i));
9062
        and(wire_nlO0i1l_dataout, wire_nlO0l1O_dataout, ~(nlOl10i));
9063
        and(wire_nlO0i1O_dataout, wire_nlO0l0i_dataout, ~(nlOl10i));
9064
        and(wire_nlO0iii_dataout, wire_nlO0lil_dataout, ~(nlOl10i));
9065
        and(wire_nlO0iil_dataout, wire_nlO0liO_dataout, ~(nlOl10i));
9066
        assign          wire_nlO0iiO_dataout = (nlOlOlO === 1'b1) ? nlOO11l : nlO111i;
9067
        assign          wire_nlO0ili_dataout = (nlOlOlO === 1'b1) ? nlOO10i : nlO111l;
9068
        assign          wire_nlO0ill_dataout = (nlOlOlO === 1'b1) ? nlOO10l : nlO111O;
9069
        assign          wire_nlO0ilO_dataout = (nlOlOlO === 1'b1) ? nlOO10O : nlO110i;
9070
        assign          wire_nlO0iOi_dataout = (nlOlOlO === 1'b1) ? nlOO1ii : nlO110l;
9071
        assign          wire_nlO0iOl_dataout = (nlOlOlO === 1'b1) ? nlOO1il : nlO110O;
9072
        assign          wire_nlO0iOO_dataout = (nlOlOlO === 1'b1) ? nlOO1iO : nlO11ii;
9073
        assign          wire_nlO0l0i_dataout = (nlOlOlO === 1'b1) ? nlOO1Oi : nlO11ll;
9074
        assign          wire_nlO0l0l_dataout = (nlOlOlO === 1'b1) ? nlOO1Ol : nlO11lO;
9075
        assign          wire_nlO0l0O_dataout = (nlOlOlO === 1'b1) ? nlOO1OO : nlO11Oi;
9076
        assign          wire_nlO0l1i_dataout = (nlOlOlO === 1'b1) ? nlOO1li : nlO11il;
9077
        assign          wire_nlO0l1l_dataout = (nlOlOlO === 1'b1) ? nlOO1ll : nlO11iO;
9078
        assign          wire_nlO0l1O_dataout = (nlOlOlO === 1'b1) ? nlOO1lO : nlO11li;
9079
        assign          wire_nlO0lii_dataout = (nlOlOlO === 1'b1) ? nlOO01i : nlO11Ol;
9080
        assign          wire_nlO0lil_dataout = (nlOlOlO === 1'b1) ? nlOO01l : nlO11OO;
9081
        assign          wire_nlO0liO_dataout = (nlOlOlO === 1'b1) ? nlOO01O : nlO101i;
9082
        and(wire_nlO0Oll_dataout, (~ nl0Oi0i), ~(nl0Oi1i));
9083
        and(wire_nlO0OlO_dataout, nl0Oi0i, ~(nl0Oi1i));
9084
        and(wire_nlO0OOl_dataout, (~ nl0Oi0i), ~(nl0Oi1l));
9085
        and(wire_nlO0OOO_dataout, nl0Oi0i, ~(nl0Oi1l));
9086
        and(wire_nlO100i_dataout, wire_nlO1l0O_dataout, ~(wire_nliliil_dout));
9087
        and(wire_nlO100l_dataout, wire_nlO1lii_dataout, ~(wire_nliliil_dout));
9088
        and(wire_nlO100O_dataout, wire_nlO1lil_dataout, ~(wire_nliliil_dout));
9089
        and(wire_nlO101O_dataout, wire_nlO1l0l_dataout, ~(wire_nliliil_dout));
9090
        and(wire_nlO10ii_dataout, wire_nlO1liO_dataout, ~(wire_nliliil_dout));
9091
        and(wire_nlO10il_dataout, wire_nlO1lli_dataout, ~(wire_nliliil_dout));
9092
        and(wire_nlO10iO_dataout, wire_nlO1lll_dataout, ~(wire_nliliil_dout));
9093
        and(wire_nlO10li_dataout, wire_nlO1llO_dataout, ~(wire_nliliil_dout));
9094
        and(wire_nlO10ll_dataout, wire_nlO1lOi_dataout, ~(wire_nliliil_dout));
9095
        and(wire_nlO10lO_dataout, wire_nlO1lOl_dataout, ~(wire_nliliil_dout));
9096
        and(wire_nlO10Oi_dataout, wire_nlO1lOO_dataout, ~(wire_nliliil_dout));
9097
        and(wire_nlO10Ol_dataout, wire_nlO1O1i_dataout, ~(wire_nliliil_dout));
9098
        and(wire_nlO10OO_dataout, wire_nlO1O1l_dataout, ~(wire_nliliil_dout));
9099
        and(wire_nlO1i0i_dataout, wire_nlO1O0O_dataout, ~(wire_nliliil_dout));
9100
        and(wire_nlO1i0l_dataout, wire_nlO1Oii_dataout, ~(wire_nliliil_dout));
9101
        and(wire_nlO1i0O_dataout, wire_nlO1Oil_dataout, ~(wire_nliliil_dout));
9102
        and(wire_nlO1i1i_dataout, wire_nlO1O1O_dataout, ~(wire_nliliil_dout));
9103
        and(wire_nlO1i1l_dataout, wire_nlO1O0i_dataout, ~(wire_nliliil_dout));
9104
        and(wire_nlO1i1O_dataout, wire_nlO1O0l_dataout, ~(wire_nliliil_dout));
9105
        and(wire_nlO1iii_dataout, wire_nlO1OiO_dataout, ~(wire_nliliil_dout));
9106
        and(wire_nlO1iil_dataout, wire_nlO1Oli_dataout, ~(wire_nliliil_dout));
9107
        and(wire_nlO1iiO_dataout, wire_nlO1Oll_dataout, ~(wire_nliliil_dout));
9108
        and(wire_nlO1ili_dataout, wire_nlO1OlO_dataout, ~(wire_nliliil_dout));
9109
        and(wire_nlO1ill_dataout, wire_nlO1OOi_dataout, ~(wire_nliliil_dout));
9110
        and(wire_nlO1ilO_dataout, wire_nlO1OOl_dataout, ~(wire_nliliil_dout));
9111
        and(wire_nlO1iOi_dataout, wire_nlO1OOO_dataout, ~(wire_nliliil_dout));
9112
        and(wire_nlO1iOl_dataout, wire_nlO011i_dataout, ~(wire_nliliil_dout));
9113
        and(wire_nlO1iOO_dataout, wire_nlO011l_dataout, ~(wire_nliliil_dout));
9114
        and(wire_nlO1l0i_dataout, wire_nlO010O_dataout, ~(wire_nliliil_dout));
9115
        and(wire_nlO1l0l_dataout, wire_nlO01ii_dataout, ~(nlOi01l));
9116
        and(wire_nlO1l0O_dataout, wire_nlO01il_dataout, ~(nlOi01l));
9117
        and(wire_nlO1l1i_dataout, wire_nlO011O_dataout, ~(wire_nliliil_dout));
9118
        and(wire_nlO1l1l_dataout, wire_nlO010i_dataout, ~(wire_nliliil_dout));
9119
        and(wire_nlO1l1O_dataout, wire_nlO010l_dataout, ~(wire_nliliil_dout));
9120
        and(wire_nlO1lii_dataout, wire_nlO01iO_dataout, ~(nlOi01l));
9121
        and(wire_nlO1lil_dataout, wire_nlO01li_dataout, ~(nlOi01l));
9122
        and(wire_nlO1liO_dataout, wire_nlO01ll_dataout, ~(nlOi01l));
9123
        and(wire_nlO1lli_dataout, wire_nlO01lO_dataout, ~(nlOi01l));
9124
        and(wire_nlO1lll_dataout, wire_nlO01Oi_dataout, ~(nlOi01l));
9125
        and(wire_nlO1llO_dataout, wire_nlO01Ol_dataout, ~(nlOi01l));
9126
        and(wire_nlO1lOi_dataout, wire_nlO01OO_dataout, ~(nlOi01l));
9127
        and(wire_nlO1lOl_dataout, wire_nlO001i_dataout, ~(nlOi01l));
9128
        and(wire_nlO1lOO_dataout, wire_nlO001l_dataout, ~(nlOi01l));
9129
        and(wire_nlO1O0i_dataout, wire_nlO000O_dataout, ~(nlOi01l));
9130
        and(wire_nlO1O0l_dataout, nl0O0ii, ~(nlOi01l));
9131
        and(wire_nlO1O0O_dataout, wire_nlO00il_dataout, ~(nlOi01l));
9132
        and(wire_nlO1O1i_dataout, wire_nlO001O_dataout, ~(nlOi01l));
9133
        and(wire_nlO1O1l_dataout, wire_nlO000i_dataout, ~(nlOi01l));
9134
        and(wire_nlO1O1O_dataout, wire_nlO000l_dataout, ~(nlOi01l));
9135
        and(wire_nlO1Oii_dataout, wire_nlO00iO_dataout, ~(nlOi01l));
9136
        and(wire_nlO1Oil_dataout, wire_nlO00li_dataout, ~(nlOi01l));
9137
        and(wire_nlO1OiO_dataout, wire_nlO00ll_dataout, ~(nlOi01l));
9138
        and(wire_nlO1Oli_dataout, wire_nlO00lO_dataout, ~(nlOi01l));
9139
        and(wire_nlO1Oll_dataout, wire_nlO00Oi_dataout, ~(nlOi01l));
9140
        and(wire_nlO1OlO_dataout, wire_nlO00Ol_dataout, ~(nlOi01l));
9141
        and(wire_nlO1OOi_dataout, wire_nlO00OO_dataout, ~(nlOi01l));
9142
        and(wire_nlO1OOl_dataout, wire_nlO0i1i_dataout, ~(nlOi01l));
9143
        and(wire_nlO1OOO_dataout, wire_nlO0i1l_dataout, ~(nlOi01l));
9144
        and(wire_nlOi00i_dataout, wire_nlO0lli_o, ~(nl0Oiii));
9145
        and(wire_nlOi00l_dataout, wire_nlO0llO_o, ~(nl0Oiii));
9146
        and(wire_nlOi00O_dataout, wire_nlO0lOl_o, ~(nl0Oiii));
9147
        and(wire_nlOi0ii_dataout, wire_nlO0O1i_o, ~(nl0Oiii));
9148
        and(wire_nlOi0il_dataout, wire_nlO0O1O_o, ~(nl0Oiii));
9149
        and(wire_nlOi0iO_dataout, wire_nlO0O0l_o, ~(nl0Oiii));
9150
        and(wire_nlOi0li_dataout, wire_nlO0Oii_o, ~(nl0Oiii));
9151
        or(wire_nlOi0ll_dataout, wire_nlO0OiO_o, nl0Oiii);
9152
        and(wire_nlOi10i_dataout, wire_nlOi10O_dataout, ~(nl0Oi0l));
9153
        and(wire_nlOi10l_dataout, (~ nl0Oi1O), ~(nl0Oi0i));
9154
        or(wire_nlOi10O_dataout, nl0Oi1O, nl0Oi0i);
9155
        and(wire_nlOi11O_dataout, wire_nlOi10l_dataout, ~(nl0Oi0l));
9156
        and(wire_nlOi1O_dataout, write, wire_nlOili_o);
9157
        and(wire_nlOiiOl_dataout, wire_nlOiiOO_dataout, ~(n10OOi));
9158
        or(wire_nlOiiOO_dataout, wire_nlOil1i_dataout, wire_n111li_o);
9159
        and(wire_nlOil1i_dataout, nlOiilO, ~((wire_nlOOOii_dataout | (wire_n1111O_dataout | (wire_n111ii_dataout | wire_nlOOOli_o)))));
9160
        and(wire_nlOl00i_dataout, nlOiOll, ~(n10OOi));
9161
        and(wire_nlOl00l_dataout, nlOiOlO, ~(n10OOi));
9162
        and(wire_nlOl00O_dataout, wire_nlOlliO_dataout, ~(n10OOi));
9163
        and(wire_nlOl01i_dataout, nlOiOil, ~(n10OOi));
9164
        and(wire_nlOl01l_dataout, nlOiOiO, ~(n10OOi));
9165
        and(wire_nlOl01O_dataout, nlOiOli, ~(n10OOi));
9166
        and(wire_nlOl0i_dataout, wire_nlOlil_dataout, ~((~ nlOOOi)));
9167
        and(wire_nlOl0ii_dataout, wire_nlOllli_dataout, ~(n10OOi));
9168
        and(wire_nlOl0il_dataout, wire_nlOllll_dataout, ~(n10OOi));
9169
        and(wire_nlOl0iO_dataout, wire_nlOlllO_dataout, ~(n10OOi));
9170
        or(wire_nlOl0l_dataout, wire_nlOliO_dataout, (~ nlOOOi));
9171
        and(wire_nlOl0li_dataout, wire_nlOllOi_dataout, ~(n10OOi));
9172
        and(wire_nlOl0ll_dataout, wire_nlOllOl_dataout, ~(n10OOi));
9173
        and(wire_nlOl0lO_dataout, wire_nlOllOO_dataout, ~(n10OOi));
9174
        and(wire_nlOl0O_dataout, nli01lO, ~(nli01OO));
9175
        and(wire_nlOl0Oi_dataout, wire_nlOlO1i_dataout, ~(n10OOi));
9176
        and(wire_nlOl0Ol_dataout, wire_nlOli0l_dataout, ~(n10OOi));
9177
        and(wire_nlOl0OO_dataout, wire_nlOliii_dataout, ~(n10OOi));
9178
        and(wire_nlOl10l_dataout, nlOillO, ~(n10OOi));
9179
        and(wire_nlOl10O_dataout, nlOilOi, ~(n10OOi));
9180
        and(wire_nlOl1i_dataout, nli01OO, ~((~ nlOOOi)));
9181
        and(wire_nlOl1ii_dataout, nlOilOl, ~(n10OOi));
9182
        and(wire_nlOl1il_dataout, nlOilOO, ~(n10OOi));
9183
        and(wire_nlOl1iO_dataout, nlOiO1i, ~(n10OOi));
9184
        and(wire_nlOl1l_dataout, wire_nlOl0O_dataout, ~((~ nlOOOi)));
9185
        and(wire_nlOl1li_dataout, nlOiO1l, ~(n10OOi));
9186
        and(wire_nlOl1ll_dataout, nlOiO1O, ~(n10OOi));
9187
        and(wire_nlOl1lO_dataout, nlOiO0i, ~(n10OOi));
9188
        and(wire_nlOl1O_dataout, wire_nlOlii_dataout, ~((~ nlOOOi)));
9189
        and(wire_nlOl1Oi_dataout, nlOiO0l, ~(n10OOi));
9190
        and(wire_nlOl1Ol_dataout, nlOiO0O, ~(n10OOi));
9191
        and(wire_nlOl1OO_dataout, nlOiOii, ~(n10OOi));
9192
        and(wire_nlOli0i_dataout, wire_nlOlO1l_dataout, ~(n10OOi));
9193
        or(wire_nlOli0l_dataout, wire_nlOli0O_dataout, wire_nlOOOii_dataout);
9194
        or(wire_nlOli0O_dataout, nlOiOOl, nl0Oili);
9195
        and(wire_nlOli1i_dataout, wire_nlOliil_dataout, ~(n10OOi));
9196
        and(wire_nlOli1l_dataout, wire_nlOlilO_dataout, ~(n10OOi));
9197
        and(wire_nlOli1O_dataout, wire_nlOllii_dataout, ~(n10OOi));
9198
        and(wire_nlOlii_dataout, wire_nlOlli_dataout, ~(nli01OO));
9199
        or(wire_nlOliii_dataout, nlOiOOO, nl0Oili);
9200
        or(wire_nlOliil_dataout, (wire_n1110i_o | wire_n1111O_dataout), ((n10lOl & wire_n111il_o) & nl0Oiil));
9201
        and(wire_nlOlil_dataout, wire_nlOlll_dataout, ~(nli01OO));
9202
        or(wire_nlOlilO_dataout, wire_nlOliOi_dataout, nl0Oili);
9203
        and(wire_nlOliO_dataout, wire_nlOllO_dataout, ~(nli01OO));
9204
        or(wire_nlOliOi_dataout, nlOl11l, nl0Oill);
9205
        and(wire_nlOlli_dataout, wire_nlOlOi_dataout, ~(nli01lO));
9206
        or(wire_nlOllii_dataout, wire_nlOllil_dataout, nl0Oili);
9207
        assign          wire_nlOllil_dataout = (nl0Oill === 1'b1) ? nlOl11l : nlOl11O;
9208
        or(wire_nlOlliO_dataout, wire_nlOlO1O_dataout, wire_n111li_o);
9209
        and(wire_nlOlll_dataout, nli01ll, ~(nli01lO));
9210
        and(wire_nlOllli_dataout, wire_nlOlO0i_dataout, ~(wire_n111li_o));
9211
        or(wire_nlOllll_dataout, wire_nlOlO0l_dataout, wire_n111li_o);
9212
        and(wire_nlOlllO_dataout, wire_nlOlO0O_dataout, ~(wire_n111li_o));
9213
        and(wire_nlOllO_dataout, wire_nlOlOl_dataout, ~(nli01lO));
9214
        or(wire_nlOllOi_dataout, wire_nlOlOii_dataout, wire_n111li_o);
9215
        and(wire_nlOllOl_dataout, wire_nlOlOil_dataout, ~(wire_n111li_o));
9216
        or(wire_nlOllOO_dataout, wire_nlOlOiO_dataout, wire_n111li_o);
9217
        assign          wire_nlOlO0i_dataout = (nl0OilO === 1'b1) ? n10lii : wire_n1110i_o;
9218
        assign          wire_nlOlO0l_dataout = (nl0OilO === 1'b1) ? n10lil : wire_n1110i_o;
9219
        assign          wire_nlOlO0O_dataout = (nl0OilO === 1'b1) ? n10liO : wire_n1110i_o;
9220
        and(wire_nlOlO1i_dataout, wire_nlOlOli_dataout, ~(wire_n111li_o));
9221
        or(wire_nlOlO1l_dataout, nl0OilO, wire_n111li_o);
9222
        and(wire_nlOlO1O_dataout, n10l0O, nl0OilO);
9223
        and(wire_nlOlOi_dataout, nli01li, ~(nli01ll));
9224
        and(wire_nlOlOii_dataout, n10lli, nl0OilO);
9225
        and(wire_nlOlOil_dataout, n10lll, nl0OilO);
9226
        and(wire_nlOlOiO_dataout, n10llO, nl0OilO);
9227
        and(wire_nlOlOl_dataout, (~ nli01li), ~(nli01ll));
9228
        and(wire_nlOlOli_dataout, n10lOi, nl0OilO);
9229
        and(wire_nlOlOOi_dataout, ((n101li & wire_n111lO_o) | (n101iO & wire_n111Ol_o)), ~(n10OOi));
9230
        and(wire_nlOO00l_dataout, wire_nlOOi0O_dataout, ~(n10OOi));
9231
        and(wire_nlOO00O_dataout, wire_nlOOiii_dataout, ~(n10OOi));
9232
        and(wire_nlOO0ii_dataout, wire_nlOOiil_dataout, ~(n10OOi));
9233
        and(wire_nlOO0il_dataout, wire_nlOOiiO_dataout, ~(n10OOi));
9234
        and(wire_nlOO0iO_dataout, wire_nlOOili_dataout, ~(n10OOi));
9235
        and(wire_nlOO0li_dataout, wire_nlOOill_dataout, ~(n10OOi));
9236
        and(wire_nlOO0ll_dataout, wire_nlOOilO_dataout, ~(n10OOi));
9237
        and(wire_nlOO0lO_dataout, wire_nlOOiOi_dataout, ~(n10OOi));
9238
        and(wire_nlOO0Oi_dataout, wire_nlOOiOl_dataout, ~(n10OOi));
9239
        and(wire_nlOO0Ol_dataout, wire_nlOOiOO_dataout, ~(n10OOi));
9240
        and(wire_nlOO0OO_dataout, wire_nlOOl1i_dataout, ~(n10OOi));
9241
        and(wire_nlOO11O_dataout, wire_nlOOOOl_dataout, ~(n10OOi));
9242
        and(wire_nlOOi0i_dataout, wire_nlOOl0l_dataout, ~(n10OOi));
9243
        and(wire_nlOOi0l_dataout, wire_nlOOl0O_dataout, ~(n10OOi));
9244
        assign          wire_nlOOi0O_dataout = (wire_nlOOOOO_o === 1'b1) ? n10l0O : nlOO11l;
9245
        and(wire_nlOOi1i_dataout, wire_nlOOl1l_dataout, ~(n10OOi));
9246
        and(wire_nlOOi1l_dataout, wire_nlOOl1O_dataout, ~(n10OOi));
9247
        and(wire_nlOOi1O_dataout, wire_nlOOl0i_dataout, ~(n10OOi));
9248
        assign          wire_nlOOiii_dataout = (wire_nlOOOOO_o === 1'b1) ? n10lii : nlOO10i;
9249
        assign          wire_nlOOiil_dataout = (wire_nlOOOOO_o === 1'b1) ? n10lil : nlOO10l;
9250
        assign          wire_nlOOiiO_dataout = (wire_nlOOOOO_o === 1'b1) ? n10liO : nlOO10O;
9251
        assign          wire_nlOOili_dataout = (wire_nlOOOOO_o === 1'b1) ? n10lli : nlOO1ii;
9252
        assign          wire_nlOOill_dataout = (wire_nlOOOOO_o === 1'b1) ? n10lll : nlOO1il;
9253
        assign          wire_nlOOilO_dataout = (wire_nlOOOOO_o === 1'b1) ? n10llO : nlOO1iO;
9254
        assign          wire_nlOOiOi_dataout = (wire_nlOOOOO_o === 1'b1) ? n10lOi : nlOO1li;
9255
        assign          wire_nlOOiOl_dataout = (wire_nlOOOOO_o === 1'b1) ? nlOO1ll : wire_nlOOlii_dataout;
9256
        assign          wire_nlOOiOO_dataout = (wire_nlOOOOO_o === 1'b1) ? nlOO1lO : wire_nlOOlil_dataout;
9257
        assign          wire_nlOOl0i_dataout = (wire_nlOOOOO_o === 1'b1) ? nlOO01i : wire_nlOOllO_dataout;
9258
        assign          wire_nlOOl0l_dataout = (wire_nlOOOOO_o === 1'b1) ? nlOO01l : wire_nlOOlOi_dataout;
9259
        assign          wire_nlOOl0O_dataout = (wire_nlOOOOO_o === 1'b1) ? nlOO01O : wire_nlOOlOl_dataout;
9260
        assign          wire_nlOOl1i_dataout = (wire_nlOOOOO_o === 1'b1) ? nlOO1Oi : wire_nlOOliO_dataout;
9261
        assign          wire_nlOOl1l_dataout = (wire_nlOOOOO_o === 1'b1) ? nlOO1Ol : wire_nlOOlli_dataout;
9262
        assign          wire_nlOOl1O_dataout = (wire_nlOOOOO_o === 1'b1) ? nlOO1OO : wire_nlOOlll_dataout;
9263
        assign          wire_nlOOlii_dataout = (wire_nlOOOOl_dataout === 1'b1) ? n10l0O : nlOO1ll;
9264
        assign          wire_nlOOlil_dataout = (wire_nlOOOOl_dataout === 1'b1) ? n10lii : nlOO1lO;
9265
        assign          wire_nlOOliO_dataout = (wire_nlOOOOl_dataout === 1'b1) ? n10lil : nlOO1Oi;
9266
        assign          wire_nlOOlli_dataout = (wire_nlOOOOl_dataout === 1'b1) ? n10liO : nlOO1Ol;
9267
        assign          wire_nlOOlll_dataout = (wire_nlOOOOl_dataout === 1'b1) ? n10lli : nlOO1OO;
9268
        assign          wire_nlOOllO_dataout = (wire_nlOOOOl_dataout === 1'b1) ? n10lll : nlOO01i;
9269
        assign          wire_nlOOlOi_dataout = (wire_nlOOOOl_dataout === 1'b1) ? n10llO : nlOO01l;
9270
        assign          wire_nlOOlOl_dataout = (wire_nlOOOOl_dataout === 1'b1) ? n10lOi : nlOO01O;
9271
        and(wire_nlOOO0O_dataout, nl0OliO, n11Oli);
9272
        or(wire_nlOOO1i_dataout, wire_nlOOO1l_dataout, n11OOl);
9273
        and(wire_nlOOO1l_dataout, nlOO00i, ~((wire_n111lO_o | wire_nlOOOOl_dataout)));
9274
        and(wire_nlOOOii_dataout, wire_n110ll_dataout, n101ii);
9275
        and(wire_nlOOOl_dataout, wire_nlOOOO_dataout, nlOOll);
9276
        or(wire_nlOOOO_dataout, wire_n111i_o[0], nlOOOi);
9277
        and(wire_nlOOOOl_dataout, (~ nl0OO1O), n1011i);
9278 9 jefflieu
        oper_add   n00i1l
9279
        (
9280 20 jefflieu
        .a({n001iO, n001il, n001ii, n0010O, n0010l, n0010i, n0011i}),
9281 9 jefflieu
        .b({{6{1'b0}}, 1'b1}),
9282
        .cin(1'b0),
9283
        .cout(),
9284
        .o(wire_n00i1l_o));
9285
        defparam
9286
                n00i1l.sgate_representation = 0,
9287
                n00i1l.width_a = 7,
9288
                n00i1l.width_b = 7,
9289
                n00i1l.width_o = 7;
9290 20 jefflieu
        oper_add   n00ii
9291
        (
9292
        .a({n01OO, n01Ol, n00iO, 1'b1}),
9293
        .b({{2{1'b1}}, 1'b0, 1'b1}),
9294
        .cin(1'b0),
9295
        .cout(),
9296
        .o(wire_n00ii_o));
9297
        defparam
9298
                n00ii.sgate_representation = 0,
9299
                n00ii.width_a = 4,
9300
                n00ii.width_b = 4,
9301
                n00ii.width_o = 4;
9302 9 jefflieu
        oper_add   n00O0i
9303
        (
9304
        .a({n00lOO, n001li}),
9305
        .b({1'b0, 1'b1}),
9306
        .cin(1'b0),
9307
        .cout(),
9308
        .o(wire_n00O0i_o));
9309
        defparam
9310
                n00O0i.sgate_representation = 0,
9311
                n00O0i.width_a = 2,
9312
                n00O0i.width_b = 2,
9313
                n00O0i.width_o = 2;
9314 20 jefflieu
        oper_add   n01li
9315 9 jefflieu
        (
9316 20 jefflieu
        .a({n011O, n011l, n01ll, 1'b1}),
9317 9 jefflieu
        .b({{2{1'b1}}, 1'b0, 1'b1}),
9318
        .cin(1'b0),
9319
        .cout(),
9320 20 jefflieu
        .o(wire_n01li_o));
9321 9 jefflieu
        defparam
9322 20 jefflieu
                n01li.sgate_representation = 0,
9323
                n01li.width_a = 4,
9324
                n01li.width_b = 4,
9325
                n01li.width_o = 4;
9326 9 jefflieu
        oper_add   n0i0ii
9327
        (
9328
        .a({n0i01l, n0i1OO, n0i1Ol, n0i1Oi}),
9329
        .b({{3{1'b0}}, 1'b1}),
9330
        .cin(1'b0),
9331
        .cout(),
9332
        .o(wire_n0i0ii_o));
9333
        defparam
9334
                n0i0ii.sgate_representation = 0,
9335
                n0i0ii.width_a = 4,
9336
                n0i0ii.width_b = 4,
9337
                n0i0ii.width_o = 4;
9338
        oper_add   n0il1i
9339
        (
9340
        .a({n0iill, n0iiiO, n0iiil, n0ii0O}),
9341
        .b({{3{1'b0}}, 1'b1}),
9342
        .cin(1'b0),
9343
        .cout(),
9344
        .o(wire_n0il1i_o));
9345
        defparam
9346
                n0il1i.sgate_representation = 0,
9347
                n0il1i.width_a = 4,
9348
                n0il1i.width_b = 4,
9349
                n0il1i.width_o = 4;
9350
        oper_add   n0ilOl
9351
        (
9352
        .a({n0iOlO, n0iOll, n0iOli, n0iOil, 1'b1}),
9353
        .b({(~ n0ii0i), (~ n0ii1O), (~ n0ii1l), (~ n0i0lO), 1'b1}),
9354
        .cin(1'b0),
9355
        .cout(),
9356
        .o(wire_n0ilOl_o));
9357
        defparam
9358
                n0ilOl.sgate_representation = 0,
9359
                n0ilOl.width_a = 5,
9360
                n0ilOl.width_b = 5,
9361
                n0ilOl.width_o = 5;
9362
        oper_add   n0iO0l
9363
        (
9364
        .a({n0i1ll, n0i1li, n0i1iO, n0i10i, 1'b1}),
9365
        .b({(~ n0l1Oi), (~ n0l1lO), (~ n0l1ll), (~ n0l1iO), 1'b1}),
9366
        .cin(1'b0),
9367
        .cout(),
9368
        .o(wire_n0iO0l_o));
9369
        defparam
9370
                n0iO0l.sgate_representation = 0,
9371
                n0iO0l.width_a = 5,
9372
                n0iO0l.width_b = 5,
9373
                n0iO0l.width_o = 5;
9374 20 jefflieu
        oper_add   n100O
9375 9 jefflieu
        (
9376 20 jefflieu
        .a({n11OO, n10ii, 1'b1}),
9377 9 jefflieu
        .b({1'b1, 1'b0, 1'b1}),
9378
        .cin(1'b0),
9379
        .cout(),
9380 20 jefflieu
        .o(wire_n100O_o));
9381 9 jefflieu
        defparam
9382 20 jefflieu
                n100O.sgate_representation = 0,
9383
                n100O.width_a = 3,
9384
                n100O.width_b = 3,
9385
                n100O.width_o = 3;
9386
        oper_add   n111i
9387 9 jefflieu
        (
9388 20 jefflieu
        .a({nlOOOi}),
9389
        .b({1'b1}),
9390 9 jefflieu
        .cin(1'b0),
9391
        .cout(),
9392 20 jefflieu
        .o(wire_n111i_o));
9393 9 jefflieu
        defparam
9394 20 jefflieu
                n111i.sgate_representation = 0,
9395
                n111i.width_a = 1,
9396
                n111i.width_b = 1,
9397
                n111i.width_o = 1;
9398
        oper_add   n1l0i
9399 9 jefflieu
        (
9400 20 jefflieu
        .a({n1iOO, n1ill, 1'b1}),
9401
        .b({1'b1, 1'b0, 1'b1}),
9402 9 jefflieu
        .cin(1'b0),
9403
        .cout(),
9404 20 jefflieu
        .o(wire_n1l0i_o));
9405 9 jefflieu
        defparam
9406 20 jefflieu
                n1l0i.sgate_representation = 0,
9407
                n1l0i.width_a = 3,
9408
                n1l0i.width_b = 3,
9409
                n1l0i.width_o = 3;
9410
        oper_add   n1OiO
9411 9 jefflieu
        (
9412 20 jefflieu
        .a({n1O1O, n1O1l, n1O1i, n1llO, 1'b1}),
9413
        .b({{3{1'b1}}, 1'b0, 1'b1}),
9414 9 jefflieu
        .cin(1'b0),
9415
        .cout(),
9416 20 jefflieu
        .o(wire_n1OiO_o));
9417 9 jefflieu
        defparam
9418 20 jefflieu
                n1OiO.sgate_representation = 0,
9419
                n1OiO.width_a = 5,
9420
                n1OiO.width_b = 5,
9421
                n1OiO.width_o = 5;
9422 9 jefflieu
        oper_add   ni01lO
9423
        (
9424
        .a({ni01ii, ni010l, ni010i, ni011l}),
9425
        .b({{3{1'b0}}, 1'b1}),
9426
        .cin(1'b0),
9427
        .cout(),
9428
        .o(wire_ni01lO_o));
9429
        defparam
9430
                ni01lO.sgate_representation = 0,
9431
                ni01lO.width_a = 4,
9432
                ni01lO.width_b = 4,
9433
                ni01lO.width_o = 4;
9434
        oper_add   ni0i0l
9435
        (
9436
        .a({ni00OO, ni00Oi, ni00lO, ni00ll}),
9437
        .b({{3{1'b0}}, 1'b1}),
9438
        .cin(1'b0),
9439
        .cout(),
9440
        .o(wire_ni0i0l_o));
9441
        defparam
9442
                ni0i0l.sgate_representation = 0,
9443
                ni0i0l.width_a = 4,
9444
                ni0i0l.width_b = 4,
9445
                ni0i0l.width_o = 4;
9446
        oper_add   ni0l1O
9447
        (
9448
        .a({ni0lOO, ni0lOl, ni0lOi, ni0lll, 1'b1}),
9449
        .b({(~ ni00iO), (~ ni00il), (~ ni00ii), (~ ni001l), 1'b1}),
9450
        .cin(1'b0),
9451
        .cout(),
9452
        .o(wire_ni0l1O_o));
9453
        defparam
9454
                ni0l1O.sgate_representation = 0,
9455
                ni0l1O.width_a = 5,
9456
                ni0l1O.width_b = 5,
9457
                ni0l1O.width_o = 5;
9458
        oper_add   ni0lil
9459
        (
9460
        .a({ni1OOO, ni1OOl, ni1OOi, ni1Oil, 1'b1}),
9461
        .b({(~ nii11l), (~ nii11i), (~ ni0OOO), (~ ni0OOi), 1'b1}),
9462
        .cin(1'b0),
9463
        .cout(),
9464
        .o(wire_ni0lil_o));
9465
        defparam
9466
                ni0lil.sgate_representation = 0,
9467
                ni0lil.width_a = 5,
9468
                ni0lil.width_b = 5,
9469
                ni0lil.width_o = 5;
9470
        oper_add   niiO0i
9471
        (
9472
        .a({niiill, niiili, niiiiO, niiiil, niiiii, niii0O, niii1l}),
9473
        .b({{6{1'b0}}, 1'b1}),
9474
        .cin(1'b0),
9475
        .cout(),
9476
        .o(wire_niiO0i_o));
9477
        defparam
9478
                niiO0i.sgate_representation = 0,
9479
                niiO0i.width_a = 7,
9480
                niiO0i.width_b = 7,
9481
                niiO0i.width_o = 7;
9482 20 jefflieu
        oper_add   nliiOii
9483 9 jefflieu
        (
9484 20 jefflieu
        .a({nliilll, nliilli, nliiliO, nliilil, nliilii, nliil1i}),
9485 9 jefflieu
        .b({{5{1'b0}}, 1'b1}),
9486
        .cin(1'b0),
9487
        .cout(),
9488 20 jefflieu
        .o(wire_nliiOii_o));
9489 9 jefflieu
        defparam
9490 20 jefflieu
                nliiOii.sgate_representation = 0,
9491
                nliiOii.width_a = 6,
9492
                nliiOii.width_b = 6,
9493
                nliiOii.width_o = 6;
9494
        oper_add   nliiOll
9495 9 jefflieu
        (
9496 20 jefflieu
        .a({nliilOl, nliilOi, nliillO}),
9497 9 jefflieu
        .b({{2{1'b0}}, 1'b1}),
9498
        .cin(1'b0),
9499
        .cout(),
9500 20 jefflieu
        .o(wire_nliiOll_o));
9501 9 jefflieu
        defparam
9502 20 jefflieu
                nliiOll.sgate_representation = 0,
9503
                nliiOll.width_a = 3,
9504
                nliiOll.width_b = 3,
9505
                nliiOll.width_o = 3;
9506
        oper_add   nlil0li
9507 9 jefflieu
        (
9508 20 jefflieu
        .a({nlil1Ol, nlil1Oi, nlil1lO, nlil1ll, nlil1li, nlil10i}),
9509 9 jefflieu
        .b({{5{1'b0}}, 1'b1}),
9510
        .cin(1'b0),
9511
        .cout(),
9512 20 jefflieu
        .o(wire_nlil0li_o));
9513 9 jefflieu
        defparam
9514 20 jefflieu
                nlil0li.sgate_representation = 0,
9515
                nlil0li.width_a = 6,
9516
                nlil0li.width_b = 6,
9517
                nlil0li.width_o = 6;
9518
        oper_add   nlil0Ol
9519 9 jefflieu
        (
9520 20 jefflieu
        .a({nlil01l, nlil01i, nlil1OO}),
9521 9 jefflieu
        .b({{2{1'b0}}, 1'b1}),
9522
        .cin(1'b0),
9523
        .cout(),
9524 20 jefflieu
        .o(wire_nlil0Ol_o));
9525 9 jefflieu
        defparam
9526 20 jefflieu
                nlil0Ol.sgate_representation = 0,
9527
                nlil0Ol.width_a = 3,
9528
                nlil0Ol.width_b = 3,
9529
                nlil0Ol.width_o = 3;
9530
        oper_add   nll00OO
9531 9 jefflieu
        (
9532 20 jefflieu
        .a({nll001i, nll01OO, nll01lO}),
9533
        .b({{2{1'b0}}, 1'b1}),
9534 9 jefflieu
        .cin(1'b0),
9535
        .cout(),
9536 20 jefflieu
        .o(wire_nll00OO_o));
9537 9 jefflieu
        defparam
9538 20 jefflieu
                nll00OO.sgate_representation = 0,
9539
                nll00OO.width_a = 3,
9540
                nll00OO.width_b = 3,
9541
                nll00OO.width_o = 3;
9542
        oper_add   nll010i
9543 9 jefflieu
        (
9544 20 jefflieu
        .a({nll11Ol, nll11Oi, nll11lO, nll11ll, nll11li, nll11iO, nll11il, nll11ii, nll110O, nll110l, nll110i, nll111O, nll111l, nll111i, nliOOOO, nliOOOl, nliOOOi, nliOOlO, nliOOll, nliOOli, nliOO0l}),
9545
        .b({{20{1'b0}}, 1'b1}),
9546 9 jefflieu
        .cin(1'b0),
9547
        .cout(),
9548 20 jefflieu
        .o(wire_nll010i_o));
9549 9 jefflieu
        defparam
9550 20 jefflieu
                nll010i.sgate_representation = 0,
9551
                nll010i.width_a = 21,
9552
                nll010i.width_b = 21,
9553
                nll010i.width_o = 21;
9554
        oper_add   nllO0O
9555 9 jefflieu
        (
9556 20 jefflieu
        .a({nlllll, nlllli, nllliO, nlllil, nlllii, nlll0O, nlll0l, nlll0i}),
9557 9 jefflieu
        .b({{7{1'b0}}, 1'b1}),
9558
        .cin(1'b0),
9559
        .cout(),
9560 20 jefflieu
        .o(wire_nllO0O_o));
9561 9 jefflieu
        defparam
9562 20 jefflieu
                nllO0O.sgate_representation = 0,
9563
                nllO0O.width_a = 8,
9564
                nllO0O.width_b = 8,
9565
                nllO0O.width_o = 8;
9566
        oper_add   nllO10l
9567 9 jefflieu
        (
9568 20 jefflieu
        .a({nlliOiO, nlliOil, nlliOii, nlliO0O, nlliO0l, nlliO0i, nlliO1O, nlliO1l, nlliO1i, nllilOO, nllilOl, nllilOi, nllillO, nllilll, nllilli, nlliliO, nllilil, nllilii, nllil0O, nllil0l, nllil1i}),
9569 9 jefflieu
        .b({{20{1'b0}}, 1'b1}),
9570
        .cin(1'b0),
9571
        .cout(),
9572 20 jefflieu
        .o(wire_nllO10l_o));
9573 9 jefflieu
        defparam
9574 20 jefflieu
                nllO10l.sgate_representation = 0,
9575
                nllO10l.width_a = 21,
9576
                nllO10l.width_b = 21,
9577
                nllO10l.width_o = 21;
9578
        oper_add   nllOl1O
9579 9 jefflieu
        (
9580 20 jefflieu
        .a({nllOi1l, nllO1Ol}),
9581 9 jefflieu
        .b({1'b0, 1'b1}),
9582
        .cin(1'b0),
9583
        .cout(),
9584 20 jefflieu
        .o(wire_nllOl1O_o));
9585 9 jefflieu
        defparam
9586 20 jefflieu
                nllOl1O.sgate_representation = 0,
9587
                nllOl1O.width_a = 2,
9588
                nllOl1O.width_b = 2,
9589
                nllOl1O.width_o = 2;
9590
        oper_add   nllOlOi
9591 9 jefflieu
        (
9592 20 jefflieu
        .a({nllOi0i, nllOi1O}),
9593 9 jefflieu
        .b({1'b0, 1'b1}),
9594
        .cin(1'b0),
9595
        .cout(),
9596 20 jefflieu
        .o(wire_nllOlOi_o));
9597 9 jefflieu
        defparam
9598 20 jefflieu
                nllOlOi.sgate_representation = 0,
9599
                nllOlOi.width_a = 2,
9600
                nllOlOi.width_b = 2,
9601
                nllOlOi.width_o = 2;
9602
        oper_decoder   n010Oi
9603 9 jefflieu
        (
9604 20 jefflieu
        .i({n010li}),
9605
        .o(wire_n010Oi_o));
9606 9 jefflieu
        defparam
9607 20 jefflieu
                n010Oi.width_i = 1,
9608
                n010Oi.width_o = 2;
9609 9 jefflieu
        oper_decoder   n0lilO
9610
        (
9611
        .i({n0lili}),
9612
        .o(wire_n0lilO_o));
9613
        defparam
9614
                n0lilO.width_i = 1,
9615
                n0lilO.width_o = 2;
9616
        oper_decoder   niO0lO
9617
        (
9618
        .i({niO0il}),
9619
        .o(wire_niO0lO_o));
9620
        defparam
9621
                niO0lO.width_i = 1,
9622
                niO0lO.width_o = 2;
9623
        oper_decoder   niOi0O
9624
        (
9625
        .i({niOiiO}),
9626
        .o(wire_niOi0O_o));
9627
        defparam
9628
                niOi0O.width_i = 1,
9629
                niOi0O.width_o = 2;
9630
        oper_less_than   n00i1O
9631
        (
9632
        .a({{3{1'b0}}, 1'b1, {2{1'b0}}, 1'b1}),
9633 20 jefflieu
        .b({n001iO, n001il, n001ii, n0010O, n0010l, n0010i, n0011i}),
9634 9 jefflieu
        .cin(1'b1),
9635
        .o(wire_n00i1O_o));
9636
        defparam
9637
                n00i1O.sgate_representation = 0,
9638
                n00i1O.width_a = 7,
9639
                n00i1O.width_b = 7;
9640
        oper_less_than   n0i0il
9641
        (
9642
        .a({n0i01l, n0i1OO, n0i1Ol, n0i1Oi}),
9643
        .b({4{1'b1}}),
9644
        .cin(1'b0),
9645
        .o(wire_n0i0il_o));
9646
        defparam
9647
                n0i0il.sgate_representation = 0,
9648
                n0i0il.width_a = 4,
9649
                n0i0il.width_b = 4;
9650
        oper_less_than   n0il1l
9651
        (
9652
        .a({n0iill, n0iiiO, n0iiil, n0ii0O}),
9653
        .b({4{1'b1}}),
9654
        .cin(1'b0),
9655
        .o(wire_n0il1l_o));
9656
        defparam
9657
                n0il1l.sgate_representation = 0,
9658
                n0il1l.width_a = 4,
9659
                n0il1l.width_b = 4;
9660
        oper_less_than   n0iOiO
9661
        (
9662
        .a({wire_n0ilOl_o[4:1]}),
9663
        .b({1'b0, n0O0li, n0O0iO, 1'b0}),
9664
        .cin(1'b0),
9665
        .o(wire_n0iOiO_o));
9666
        defparam
9667
                n0iOiO.sgate_representation = 0,
9668
                n0iOiO.width_a = 4,
9669
                n0iOiO.width_b = 4;
9670
        oper_less_than   n0l1li
9671
        (
9672
        .a({(~ n0O0ll), 1'b0, {2{1'b1}}}),
9673
        .b({n0iO1l, n0iO1i, n0ilOO, n0ilOi}),
9674
        .cin(1'b1),
9675
        .o(wire_n0l1li_o));
9676
        defparam
9677
                n0l1li.sgate_representation = 0,
9678
                n0l1li.width_a = 4,
9679
                n0l1li.width_b = 4;
9680
        oper_less_than   ni01Oi
9681
        (
9682
        .a({ni01ii, ni010l, ni010i, ni011l}),
9683
        .b({4{1'b1}}),
9684
        .cin(1'b0),
9685
        .o(wire_ni01Oi_o));
9686
        defparam
9687
                ni01Oi.sgate_representation = 0,
9688
                ni01Oi.width_a = 4,
9689
                ni01Oi.width_b = 4;
9690
        oper_less_than   ni0i0O
9691
        (
9692
        .a({ni00OO, ni00Oi, ni00lO, ni00ll}),
9693
        .b({4{1'b1}}),
9694
        .cin(1'b0),
9695
        .o(wire_ni0i0O_o));
9696
        defparam
9697
                ni0i0O.sgate_representation = 0,
9698
                ni0i0O.width_a = 4,
9699
                ni0i0O.width_b = 4;
9700
        oper_less_than   ni0llO
9701
        (
9702
        .a({wire_ni0l1O_o[4:1]}),
9703
        .b({{2{1'b0}}, {2{1'b1}}}),
9704
        .cin(1'b0),
9705
        .o(wire_ni0llO_o));
9706
        defparam
9707
                ni0llO.sgate_representation = 0,
9708
                ni0llO.width_a = 4,
9709
                ni0llO.width_b = 4;
9710
        oper_less_than   ni0OOl
9711
        (
9712
        .a({1'b1, 1'b0, {2{1'b1}}}),
9713
        .b({ni0l0O, ni0l0l, ni0l0i, ni0l1l}),
9714
        .cin(1'b1),
9715
        .o(wire_ni0OOl_o));
9716
        defparam
9717
                ni0OOl.sgate_representation = 0,
9718
                ni0OOl.width_a = 4,
9719
                ni0OOl.width_b = 4;
9720
        oper_less_than   niiO0l
9721
        (
9722
        .a({{3{1'b0}}, 1'b1, {2{1'b0}}, 1'b1}),
9723
        .b({niiill, niiili, niiiiO, niiiil, niiiii, niii0O, niii1l}),
9724
        .cin(1'b1),
9725
        .o(wire_niiO0l_o));
9726
        defparam
9727
                niiO0l.sgate_representation = 0,
9728
                niiO0l.width_a = 7,
9729
                niiO0l.width_b = 7;
9730 20 jefflieu
        oper_less_than   nlll1O
9731 9 jefflieu
        (
9732
        .a({{5{1'b0}}, 1'b1, {2{1'b0}}}),
9733 20 jefflieu
        .b({nlllll, nlllli, nllliO, nlllil, nlllii, nlll0O, nlll0l, nlll0i}),
9734 9 jefflieu
        .cin(1'b0),
9735 20 jefflieu
        .o(wire_nlll1O_o));
9736 9 jefflieu
        defparam
9737 20 jefflieu
                nlll1O.sgate_representation = 0,
9738
                nlll1O.width_a = 8,
9739
                nlll1O.width_b = 8;
9740 9 jefflieu
        oper_mux   nl100i
9741
        (
9742
        .data({{11{1'b0}}, nl00Oi, nl0lil, nl0i0i, 1'b0, nl0O1l, {9{1'b0}}, nli10l, nl1i0l, {5{1'b0}}}),
9743
        .o(wire_nl100i_o),
9744 20 jefflieu
        .sel({nlO1Ol, nlO1Oi, nlO1lO, nlO1ll, nlO1li}));
9745 9 jefflieu
        defparam
9746
                nl100i.width_data = 32,
9747
                nl100i.width_sel = 5;
9748
        oper_mux   nl100l
9749
        (
9750
        .data({{11{1'b0}}, nl00Ol, nl0liO, nl0i0O, 1'b0, nl0O1O, {10{1'b0}}, nl1i0O, {3{1'b0}}, nlil0i, 1'b0}),
9751
        .o(wire_nl100l_o),
9752 20 jefflieu
        .sel({nlO1Ol, nlO1Oi, nlO1lO, nlO1ll, nlO1li}));
9753 9 jefflieu
        defparam
9754
                nl100l.width_data = 32,
9755
                nl100l.width_sel = 5;
9756
        oper_mux   nl100O
9757
        (
9758
        .data({{11{1'b0}}, nl00OO, nl0lll, nl0iii, 1'b0, nl0O0i, {10{1'b0}}, nl1iii, {3{1'b0}}, 1'b1, 1'b0}),
9759
        .o(wire_nl100O_o),
9760 20 jefflieu
        .sel({nlO1Ol, nlO1Oi, nlO1lO, nlO1ll, nlO1li}));
9761 9 jefflieu
        defparam
9762
                nl100O.width_data = 32,
9763
                nl100O.width_sel = 5;
9764
        oper_mux   nl101O
9765
        (
9766 20 jefflieu
        .data({{9{1'b0}}, nl010l, nl00ii, nl00ll, nl0lii, {2{1'b0}}, nl0lOl, {9{1'b0}}, nli11O, nl111i, {3{1'b0}}, 1'b1, 1'b0}),
9767 9 jefflieu
        .o(wire_nl101O_o),
9768 20 jefflieu
        .sel({nlO1Ol, nlO1Oi, nlO1lO, nlO1ll, nlO1li}));
9769 9 jefflieu
        defparam
9770
                nl101O.width_data = 32,
9771
                nl101O.width_sel = 5;
9772
        oper_mux   nl10ii
9773
        (
9774
        .data({{11{1'b0}}, nl0i1i, nl0lOi, nl0iil, 1'b0, nl0O0l, {10{1'b0}}, nl1iil, {5{1'b0}}}),
9775
        .o(wire_nl10ii_o),
9776 20 jefflieu
        .sel({nlO1Ol, nlO1Oi, nlO1lO, nlO1ll, nlO1li}));
9777 9 jefflieu
        defparam
9778
                nl10ii.width_data = 32,
9779
                nl10ii.width_sel = 5;
9780
        oper_mux   nl10il
9781
        (
9782 20 jefflieu
        .data({{11{1'b0}}, nl0i1O, 1'b0, nl0iiO, 1'b0, nl0O0O, {10{1'b0}}, nl1iiO, nlii0i, {2{1'b0}}, nlil0O, nliOiO}),
9783 9 jefflieu
        .o(wire_nl10il_o),
9784 20 jefflieu
        .sel({nlO1Ol, nlO1Oi, nlO1lO, nlO1ll, nlO1li}));
9785 9 jefflieu
        defparam
9786
                nl10il.width_data = 32,
9787
                nl10il.width_sel = 5;
9788
        oper_mux   nl10iO
9789
        (
9790
        .data({{13{1'b0}}, nl0ili, 1'b0, nl0Oii, {10{1'b0}}, nl1ili, nliill, {3{1'b0}}, 1'b1}),
9791
        .o(wire_nl10iO_o),
9792 20 jefflieu
        .sel({nlO1Ol, nlO1Oi, nlO1lO, nlO1ll, nlO1li}));
9793 9 jefflieu
        defparam
9794
                nl10iO.width_data = 32,
9795
                nl10iO.width_sel = 5;
9796
        oper_mux   nl10li
9797
        (
9798 20 jefflieu
        .data({{13{1'b0}}, nl0ill, 1'b0, nl0Oil, {10{1'b0}}, nl1ill, nliilO, {2{1'b0}}, 1'b1, 1'b0}),
9799 9 jefflieu
        .o(wire_nl10li_o),
9800 20 jefflieu
        .sel({nlO1Ol, nlO1Oi, nlO1lO, nlO1ll, nlO1li}));
9801 9 jefflieu
        defparam
9802
                nl10li.width_data = 32,
9803
                nl10li.width_sel = 5;
9804
        oper_mux   nl10ll
9805
        (
9806 20 jefflieu
        .data({{13{1'b0}}, nl0ilO, 1'b0, nl0OiO, {10{1'b0}}, nl1ilO, nliiOl, {3{1'b0}}, 1'b1}),
9807 9 jefflieu
        .o(wire_nl10ll_o),
9808 20 jefflieu
        .sel({nlO1Ol, nlO1Oi, nlO1lO, nlO1ll, nlO1li}));
9809 9 jefflieu
        defparam
9810
                nl10ll.width_data = 32,
9811
                nl10ll.width_sel = 5;
9812
        oper_mux   nl10lO
9813
        (
9814 20 jefflieu
        .data({{13{1'b0}}, nl0iOi, 1'b0, nl0Oli, {10{1'b0}}, nl1iOi, {4{1'b0}}, nll11i}),
9815 9 jefflieu
        .o(wire_nl10lO_o),
9816 20 jefflieu
        .sel({nlO1Ol, nlO1Oi, nlO1lO, nlO1ll, nlO1li}));
9817 9 jefflieu
        defparam
9818
                nl10lO.width_data = 32,
9819
                nl10lO.width_sel = 5;
9820
        oper_mux   nl10Oi
9821
        (
9822 20 jefflieu
        .data({{13{1'b0}}, nl0iOl, 1'b1, nl0Oll, {10{1'b0}}, nl1iOl, {4{1'b0}}, nll11l}),
9823 9 jefflieu
        .o(wire_nl10Oi_o),
9824 20 jefflieu
        .sel({nlO1Ol, nlO1Oi, nlO1lO, nlO1ll, nlO1li}));
9825 9 jefflieu
        defparam
9826
                nl10Oi.width_data = 32,
9827
                nl10Oi.width_sel = 5;
9828
        oper_mux   nl10Ol
9829
        (
9830 20 jefflieu
        .data({{13{1'b0}}, nl0iOO, 1'b1, nl0OlO, {10{1'b0}}, nl1iOO, {4{1'b0}}, nll11O}),
9831 9 jefflieu
        .o(wire_nl10Ol_o),
9832 20 jefflieu
        .sel({nlO1Ol, nlO1Oi, nlO1lO, nlO1ll, nlO1li}));
9833 9 jefflieu
        defparam
9834
                nl10Ol.width_data = 32,
9835
                nl10Ol.width_sel = 5;
9836
        oper_mux   nl10OO
9837
        (
9838 20 jefflieu
        .data({{13{1'b0}}, nl0l1l, 1'b0, nl0OOi, {10{1'b0}}, nl1l1i, nliiOO, {3{1'b0}}, nll10l}),
9839 9 jefflieu
        .o(wire_nl10OO_o),
9840 20 jefflieu
        .sel({nlO1Ol, nlO1Oi, nlO1lO, nlO1ll, nlO1li}));
9841 9 jefflieu
        defparam
9842
                nl10OO.width_data = 32,
9843
                nl10OO.width_sel = 5;
9844
        oper_mux   nl1i1i
9845
        (
9846
        .data({{13{1'b0}}, nl0l1O, 1'b0, nl0OOl, {10{1'b0}}, nl1l1l, nlil1l, {4{1'b0}}}),
9847
        .o(wire_nl1i1i_o),
9848 20 jefflieu
        .sel({nlO1Ol, nlO1Oi, nlO1lO, nlO1ll, nlO1li}));
9849 9 jefflieu
        defparam
9850
                nl1i1i.width_data = 32,
9851
                nl1i1i.width_sel = 5;
9852
        oper_mux   nl1i1l
9853
        (
9854 20 jefflieu
        .data({{13{1'b0}}, nl0l0i, 1'b0, nl0OOO, {10{1'b0}}, nl1l1O, nlil1O, {3{1'b0}}, nll1ii}),
9855 9 jefflieu
        .o(wire_nl1i1l_o),
9856 20 jefflieu
        .sel({nlO1Ol, nlO1Oi, nlO1lO, nlO1ll, nlO1li}));
9857 9 jefflieu
        defparam
9858
                nl1i1l.width_data = 32,
9859
                nl1i1l.width_sel = 5;
9860
        oper_mux   nl1i1O
9861
        (
9862 20 jefflieu
        .data({{13{1'b0}}, nl0l0O, 1'b0, nli11l, {10{1'b0}}, nl1l0l, {4{1'b0}}, nll1il}),
9863 9 jefflieu
        .o(wire_nl1i1O_o),
9864 20 jefflieu
        .sel({nlO1Ol, nlO1Oi, nlO1lO, nlO1ll, nlO1li}));
9865 9 jefflieu
        defparam
9866
                nl1i1O.width_data = 32,
9867
                nl1i1O.width_sel = 5;
9868
        oper_selector   n00ill
9869
        (
9870
        .data({wire_n00lii_dataout, 1'b0, wire_n00l1O_dataout}),
9871
        .o(wire_n00ill_o),
9872
        .sel({n00Oii, (n00O0O | n00O1i), n00O0l}));
9873
        defparam
9874
                n00ill.width_data = 3,
9875
                n00ill.width_sel = 3;
9876
        oper_selector   n00iOi
9877
        (
9878
        .data({1'b0, 1'b1, wire_n00l0i_dataout}),
9879
        .o(wire_n00iOi_o),
9880
        .sel({(n00Oii | n00O0O), n00O1i, n00O0l}));
9881
        defparam
9882
                n00iOi.width_data = 3,
9883
                n00iOi.width_sel = 3;
9884
        oper_selector   n00iOO
9885
        (
9886 20 jefflieu
        .data({wire_n00lil_dataout, (~ nli10il), 1'b0}),
9887 9 jefflieu
        .o(wire_n00iOO_o),
9888
        .sel({n00Oii, n00O0O, (n00O0l | n00O1i)}));
9889
        defparam
9890
                n00iOO.width_data = 3,
9891
                n00iOO.width_sel = 3;
9892
        oper_selector   n00l1l
9893
        (
9894 20 jefflieu
        .data({wire_n00liO_dataout, nli10il, 1'b0, nli10ii}),
9895 9 jefflieu
        .o(wire_n00l1l_o),
9896
        .sel({n00Oii, n00O0O, n00O1i, n00O0l}));
9897
        defparam
9898
                n00l1l.width_data = 4,
9899
                n00l1l.width_sel = 4;
9900
        oper_selector   n1101i
9901
        (
9902 20 jefflieu
        .data({(~ nl0OO1l), 1'b0, wire_n11ilO_dataout, 1'b1}),
9903 9 jefflieu
        .o(wire_n1101i_o),
9904 20 jefflieu
        .sel({n101ll, nl0Olil, n11OOl, n11OOi}));
9905 9 jefflieu
        defparam
9906 20 jefflieu
                n1101i.width_data = 4,
9907
                n1101i.width_sel = 4;
9908 9 jefflieu
        oper_selector   n1110i
9909
        (
9910 20 jefflieu
        .data({1'b0, wire_n11l1O_dataout, (~ nl0OO1l)}),
9911 9 jefflieu
        .o(wire_n1110i_o),
9912 20 jefflieu
        .sel({nl0Ol1O, n101li, n1010i}));
9913 9 jefflieu
        defparam
9914 20 jefflieu
                n1110i.width_data = 3,
9915
                n1110i.width_sel = 3;
9916 9 jefflieu
        oper_selector   n1111i
9917
        (
9918 20 jefflieu
        .data({1'b0, nl0OOOi, 1'b0, nl0OOOi}),
9919 9 jefflieu
        .o(wire_n1111i_o),
9920 20 jefflieu
        .sel({nl0Ol1l, n101iO, n1011l, n1011O}));
9921 9 jefflieu
        defparam
9922 20 jefflieu
                n1111i.width_data = 4,
9923
                n1111i.width_sel = 4;
9924 9 jefflieu
        oper_selector   n111il
9925
        (
9926 20 jefflieu
        .data({1'b0, 1'b1, wire_n110Ol_dataout, (~ nl0OliO), wire_n110li_dataout, (~ nl0OliO), wire_n1100i_dataout, {2{(~ nl0OliO)}}}),
9927 9 jefflieu
        .o(wire_n111il_o),
9928 20 jefflieu
        .sel({nl0Ol0i, n101il, n101ii, n1010O, n1010l, n11OlO, n11Oll, n11Oli, n11OiO}));
9929 9 jefflieu
        defparam
9930 20 jefflieu
                n111il.width_data = 9,
9931
                n111il.width_sel = 9;
9932
        oper_selector   n111li
9933
        (
9934
        .data({1'b0, nl0OOiO, wire_n1100l_dataout}),
9935
        .o(wire_n111li_o),
9936
        .sel({nl0Ol0l, n101li, n11Oll}));
9937
        defparam
9938
                n111li.width_data = 3,
9939
                n111li.width_sel = 3;
9940 9 jefflieu
        oper_selector   n111lO
9941
        (
9942 20 jefflieu
        .data({nl0OO1l, 1'b0, wire_n11l0i_dataout, wire_n11iOO_dataout, {2{nl0OO1l}}, nl0OO0l, {2{nl0OO1l}}}),
9943 9 jefflieu
        .o(wire_n111lO_o),
9944 20 jefflieu
        .sel({n101ll, nl0Ol0O, n101li, n11OOO, n1010i, n11OOl, n1010l, n11Oll, nlOOlOO}));
9945 9 jefflieu
        defparam
9946
                n111lO.width_data = 9,
9947
                n111lO.width_sel = 9;
9948
        oper_selector   n111Ol
9949
        (
9950 20 jefflieu
        .data({1'b0, wire_n11O0l_dataout, 1'b0, (~ nl0OOOi)}),
9951 9 jefflieu
        .o(wire_n111Ol_o),
9952 20 jefflieu
        .sel({nl0Olii, n101iO, n101li, n1011O}));
9953 9 jefflieu
        defparam
9954 20 jefflieu
                n111Ol.width_data = 4,
9955
                n111Ol.width_sel = 4;
9956
        oper_selector   n1Oi0O
9957 9 jefflieu
        (
9958 20 jefflieu
        .data({nli11Oi, 1'b0, nli11iO}),
9959
        .o(wire_n1Oi0O_o),
9960
        .sel({n011il, nli110i, n1i0li}));
9961 9 jefflieu
        defparam
9962 20 jefflieu
                n1Oi0O.width_data = 3,
9963
                n1Oi0O.width_sel = 3;
9964
        oper_selector   n1OiiO
9965 9 jefflieu
        (
9966 20 jefflieu
        .data({wire_n1Olll_dataout, 1'b0, {2{wire_n1Ol1O_dataout}}}),
9967
        .o(wire_n1OiiO_o),
9968
        .sel({n011il, nli110O, n1OOlO, n1i0li}));
9969 9 jefflieu
        defparam
9970 20 jefflieu
                n1OiiO.width_data = 4,
9971
                n1OiiO.width_sel = 4;
9972
        oper_selector   n1Oill
9973 9 jefflieu
        (
9974 20 jefflieu
        .data({1'b0, 1'b1, (~ nli11li)}),
9975
        .o(wire_n1Oill_o),
9976
        .sel({nli110l, (n011ii | n0111l), n0110O}));
9977 9 jefflieu
        defparam
9978 20 jefflieu
                n1Oill.width_data = 3,
9979
                n1Oill.width_sel = 3;
9980
        oper_selector   n1OiOl
9981 9 jefflieu
        (
9982 20 jefflieu
        .data({wire_n1OllO_dataout, 1'b0, {2{wire_n1Ol0i_dataout}}}),
9983
        .o(wire_n1OiOl_o),
9984
        .sel({n011il, nli110O, n1OOlO, n1i0li}));
9985 9 jefflieu
        defparam
9986 20 jefflieu
                n1OiOl.width_data = 4,
9987
                n1OiOl.width_sel = 4;
9988
        oper_selector   n1Ol1i
9989 9 jefflieu
        (
9990 20 jefflieu
        .data({wire_n1OlOi_dataout, 1'b0, (~ n1i1il), 1'b1, {2{wire_n1Ol0l_dataout}}}),
9991
        .o(wire_n1Ol1i_o),
9992
        .sel({n011il, nli11ii, n0110i, n0111i, n1OOlO, n1i0li}));
9993 9 jefflieu
        defparam
9994 20 jefflieu
                n1Ol1i.width_data = 6,
9995
                n1Ol1i.width_sel = 6;
9996 9 jefflieu
        oper_selector   ni101l
9997
        (
9998 20 jefflieu
        .data({wire_ni10iO_dataout, 1'b0, nliil0l}),
9999 9 jefflieu
        .o(wire_ni101l_o),
10000 20 jefflieu
        .sel({ni1i1l, nli1i1l, n0OOli}));
10001 9 jefflieu
        defparam
10002
                ni101l.width_data = 3,
10003
                ni101l.width_sel = 3;
10004
        oper_selector   ni11lO
10005
        (
10006 20 jefflieu
        .data({1'b0, nli1i0i, nli1i1O, (~ nliil0l)}),
10007 9 jefflieu
        .o(wire_ni11lO_o),
10008
        .sel({ni1i1l, ni10OO, ni10Ol, n0OOli}));
10009
        defparam
10010
                ni11lO.width_data = 4,
10011
                ni11lO.width_sel = 4;
10012
        oper_selector   ni11Oi
10013
        (
10014 20 jefflieu
        .data({wire_ni10il_dataout, 1'b0, (~ nli1i1O)}),
10015 9 jefflieu
        .o(wire_ni11Oi_o),
10016 20 jefflieu
        .sel({ni1i1l, nli1i1i, ni10Ol}));
10017 9 jefflieu
        defparam
10018
                ni11Oi.width_data = 3,
10019
                ni11Oi.width_sel = 3;
10020
        oper_selector   ni11OO
10021
        (
10022 20 jefflieu
        .data({nli1i0O, (~ nli1i0i), 1'b0}),
10023 9 jefflieu
        .o(wire_ni11OO_o),
10024
        .sel({ni1i1l, ni10OO, (ni10Ol | n0OOli)}));
10025
        defparam
10026
                ni11OO.width_data = 3,
10027
                ni11OO.width_sel = 3;
10028
        oper_selector   niiOOi
10029
        (
10030
        .data({wire_nil1iO_dataout, 1'b0, wire_nil10l_dataout}),
10031
        .o(wire_niiOOi_o),
10032
        .sel({nil01i, (nil1OO | niiilO), nil1Ol}));
10033
        defparam
10034
                niiOOi.width_data = 3,
10035
                niiOOi.width_sel = 3;
10036
        oper_selector   niiOOO
10037
        (
10038
        .data({1'b0, 1'b1, wire_nil10O_dataout}),
10039
        .o(wire_niiOOO_o),
10040
        .sel({(nil01i | nil1OO), niiilO, nil1Ol}));
10041
        defparam
10042
                niiOOO.width_data = 3,
10043
                niiOOO.width_sel = 3;
10044
        oper_selector   nil10i
10045
        (
10046 20 jefflieu
        .data({wire_nil1li_dataout, nli1iOl, 1'b0, nli1iOi}),
10047 9 jefflieu
        .o(wire_nil10i_o),
10048
        .sel({nil01i, nil1OO, niiilO, nil1Ol}));
10049
        defparam
10050
                nil10i.width_data = 4,
10051
                nil10i.width_sel = 4;
10052
        oper_selector   nil11l
10053
        (
10054 20 jefflieu
        .data({nli1l1i, (~ nli1iOl), 1'b0}),
10055 9 jefflieu
        .o(wire_nil11l_o),
10056
        .sel({nil01i, nil1OO, (nil1Ol | niiilO)}));
10057
        defparam
10058
                nil11l.width_data = 3,
10059
                nil11l.width_sel = 3;
10060 20 jefflieu
        oper_selector   nlO0lli
10061 9 jefflieu
        (
10062 20 jefflieu
        .data({1'b0, (~ wire_nlili0O_dout)}),
10063
        .o(wire_nlO0lli_o),
10064
        .sel({nl0O0iO, (~ nl0O0iO)}));
10065 9 jefflieu
        defparam
10066 20 jefflieu
                nlO0lli.width_data = 2,
10067
                nlO0lli.width_sel = 2;
10068
        oper_selector   nlO0llO
10069
        (
10070
        .data({1'b0, nl0Oi1i, (~ nllO1Oi)}),
10071
        .o(wire_nlO0llO_o),
10072
        .sel({nl0O0li, nlOi1lO, nlOi1ll}));
10073
        defparam
10074
                nlO0llO.width_data = 3,
10075
                nlO0llO.width_sel = 3;
10076 9 jefflieu
        oper_selector   nlO0lOl
10077
        (
10078 20 jefflieu
        .data({1'b0, nl0Oi1l, wire_nlO0Oll_dataout}),
10079 9 jefflieu
        .o(wire_nlO0lOl_o),
10080 20 jefflieu
        .sel({nl0O0ll, nlOi1Oi, nlOi1lO}));
10081 9 jefflieu
        defparam
10082 20 jefflieu
                nlO0lOl.width_data = 3,
10083
                nlO0lOl.width_sel = 3;
10084 9 jefflieu
        oper_selector   nlO0O0l
10085
        (
10086 20 jefflieu
        .data({1'b0, nll0lll, (~ nl0Oi0O)}),
10087 9 jefflieu
        .o(wire_nlO0O0l_o),
10088 20 jefflieu
        .sel({nl0O0Ol, nlOi01i, nlOi1OO}));
10089 9 jefflieu
        defparam
10090
                nlO0O0l.width_data = 3,
10091
                nlO0O0l.width_sel = 3;
10092
        oper_selector   nlO0O1i
10093
        (
10094 20 jefflieu
        .data({1'b0, nl0Oi0l, wire_nlO0OOl_dataout}),
10095 9 jefflieu
        .o(wire_nlO0O1i_o),
10096 20 jefflieu
        .sel({nl0O0lO, nlOi1Ol, nlOi1Oi}));
10097 9 jefflieu
        defparam
10098
                nlO0O1i.width_data = 3,
10099
                nlO0O1i.width_sel = 3;
10100
        oper_selector   nlO0O1O
10101
        (
10102 20 jefflieu
        .data({1'b0, nl0Oi0O, wire_nlOi11O_dataout}),
10103 9 jefflieu
        .o(wire_nlO0O1O_o),
10104 20 jefflieu
        .sel({nl0O0Oi, nlOi1OO, nlOi1Ol}));
10105 9 jefflieu
        defparam
10106
                nlO0O1O.width_data = 3,
10107
                nlO0O1O.width_sel = 3;
10108
        oper_selector   nlO0Oii
10109
        (
10110 20 jefflieu
        .data({wire_nlili0O_dout, (~ nll0lll), 1'b0}),
10111 9 jefflieu
        .o(wire_nlO0Oii_o),
10112 20 jefflieu
        .sel({nlOi01l, nlOi01i, nl0O0OO}));
10113 9 jefflieu
        defparam
10114
                nlO0Oii.width_data = 3,
10115
                nlO0Oii.width_sel = 3;
10116
        oper_selector   nlO0OiO
10117
        (
10118 20 jefflieu
        .data({1'b0, wire_nlOi10i_dataout, wire_nlO0OOO_dataout, wire_nlO0OlO_dataout, nllO1Oi, wire_nlili0O_dout}),
10119 9 jefflieu
        .o(wire_nlO0OiO_o),
10120 20 jefflieu
        .sel({((nlOi01l | nlOi01i) | nlOi1OO), nlOi1Ol, nlOi1Oi, nlOi1lO, nlOi1ll, nlO101l}));
10121 9 jefflieu
        defparam
10122 20 jefflieu
                nlO0OiO.width_data = 6,
10123
                nlO0OiO.width_sel = 6;
10124
        oper_selector   nlOi0i
10125 9 jefflieu
        (
10126 20 jefflieu
        .data({wire_nlOl1i_dataout, (~ nli01iO), 1'b0}),
10127
        .o(wire_nlOi0i_o),
10128
        .sel({nlOOll, nlOi1l, (((nlOOli | nlOOiO) | nlOOil) | nlOOii)}));
10129 9 jefflieu
        defparam
10130 20 jefflieu
                nlOi0i.width_data = 3,
10131
                nlOi0i.width_sel = 3;
10132
        oper_selector   nlOi0O
10133 9 jefflieu
        (
10134 20 jefflieu
        .data({wire_nlOl1l_dataout, 1'b0, (~ nli01il)}),
10135
        .o(wire_nlOi0O_o),
10136
        .sel({nlOOll, (((nlOOli | nlOOiO) | nlOOil) | nlOi1l), nlOOii}));
10137 9 jefflieu
        defparam
10138 20 jefflieu
                nlOi0O.width_data = 3,
10139
                nlOi0O.width_sel = 3;
10140
        oper_selector   nlOiil
10141 9 jefflieu
        (
10142 20 jefflieu
        .data({wire_nlOl1O_dataout, nli01iO, nli01il, 1'b0}),
10143
        .o(wire_nlOiil_o),
10144
        .sel({nlOOll, nlOi1l, nlOOii, ((nlOOli | nlOOiO) | nlOOil)}));
10145 9 jefflieu
        defparam
10146 20 jefflieu
                nlOiil.width_data = 4,
10147
                nlOiil.width_sel = 4;
10148
        oper_selector   nlOili
10149 9 jefflieu
        (
10150 20 jefflieu
        .data({wire_nlOl0i_dataout, 1'b0, 1'b1}),
10151
        .o(wire_nlOili_o),
10152
        .sel({nlOOll, (((nlOOli | nlOOil) | nlOOii) | nlOi1l), nlOOiO}));
10153 9 jefflieu
        defparam
10154 20 jefflieu
                nlOili.width_data = 3,
10155
                nlOili.width_sel = 3;
10156
        oper_selector   nlOilO
10157 9 jefflieu
        (
10158 20 jefflieu
        .data({wire_nlOl0l_dataout, 1'b0, 1'b1}),
10159
        .o(wire_nlOilO_o),
10160
        .sel({nlOOll, (((nlOOli | nlOOiO) | nlOOii) | nlOi1l), nlOOil}));
10161 9 jefflieu
        defparam
10162 20 jefflieu
                nlOilO.width_data = 3,
10163
                nlOilO.width_sel = 3;
10164
        oper_selector   nlOOO0i
10165 9 jefflieu
        (
10166 20 jefflieu
        .data({1'b0, nl0OliO, (~ nl0OO1l)}),
10167
        .o(wire_nlOOO0i_o),
10168
        .sel({nl0OiOi, n11OiO, nlOOlOO}));
10169 9 jefflieu
        defparam
10170 20 jefflieu
                nlOOO0i.width_data = 3,
10171
                nlOOO0i.width_sel = 3;
10172 9 jefflieu
        oper_selector   nlOOOil
10173
        (
10174 20 jefflieu
        .data({1'b0, nl0OliO, wire_n1101O_dataout}),
10175 9 jefflieu
        .o(wire_nlOOOil_o),
10176 20 jefflieu
        .sel({nl0OiOl, n11OlO, n11Oll}));
10177 9 jefflieu
        defparam
10178
                nlOOOil.width_data = 3,
10179
                nlOOOil.width_sel = 3;
10180 20 jefflieu
        oper_selector   nlOOOli
10181
        (
10182
        .data({1'b0, wire_n110lO_dataout, wire_n110iO_dataout}),
10183
        .o(wire_nlOOOli_o),
10184
        .sel({nl0OiOO, n101ii, n1010l}));
10185
        defparam
10186
                nlOOOli.width_data = 3,
10187
                nlOOOli.width_sel = 3;
10188 9 jefflieu
        oper_selector   nlOOOlO
10189
        (
10190 20 jefflieu
        .data({1'b0, wire_n11O0i_dataout, wire_n11l1l_dataout, {2{nl0OO1O}}, wire_n11iOl_dataout, wire_n11ill_dataout}),
10191 9 jefflieu
        .o(wire_nlOOOlO_o),
10192 20 jefflieu
        .sel({nl0Ol1i, n101iO, n101li, n1011l, n1011i, n11OOO, n11OOl}));
10193 9 jefflieu
        defparam
10194 20 jefflieu
                nlOOOlO.width_data = 7,
10195
                nlOOOlO.width_sel = 7;
10196
        oper_selector   nlOOOOO
10197 9 jefflieu
        (
10198 20 jefflieu
        .data({(~ nl0OO1O), 1'b0}),
10199
        .o(wire_nlOOOOO_o),
10200
        .sel({n1011l, (~ n1011l)}));
10201 9 jefflieu
        defparam
10202 20 jefflieu
                nlOOOOO.width_data = 2,
10203
                nlOOOOO.width_sel = 2;
10204 9 jefflieu
        assign
10205
                gmii_rx_d = {n0O1li, n0O1iO, n0O1il, n0O1ii, n0O10O, n0O10l, n0O10i, n0O11O},
10206
                gmii_rx_dv = n0O1ll,
10207
                gmii_rx_err = n0O1Oi,
10208
                hd_ena = nl011l,
10209 20 jefflieu
                led_an = nliOOiO,
10210
                led_char_err = niO0O,
10211 9 jefflieu
                led_col = niOiiO,
10212 20 jefflieu
                led_crs = n010ll,
10213
                led_disp_err = niOiO,
10214
                led_link = niO1i,
10215 9 jefflieu
                mii_col = niOiiO,
10216
                mii_crs = niO0li,
10217
                mii_rx_d = {n0ll1l, n0ll1i, n0liOO, n0liOl},
10218
                mii_rx_dv = n0liOi,
10219
                mii_rx_err = n0llli,
10220 20 jefflieu
                nl0O00i = (nllOi0i & (~ nllOi1O)),
10221
                nl0O00l = ((~ (nlO101i ^ nlOO01O)) & nl0O00O),
10222
                nl0O00O = ((((((((((((((~ (nlO111i ^ nlOO11l)) & (~ (nlO111l ^ nlOO10i))) & (~ (nlO111O ^ nlOO10l))) & (~ (nlO110i ^ nlOO10O))) & (~ (nlO110l ^ nlOO1ii))) & (~ (nlO110O ^ nlOO1il))) & (~ (nlO11ii ^ nlOO1iO))) & (~ (nlO11il ^ nlOO1li))) & (~ (nlO11iO ^ nlOO1ll))) & (~ (nlO11li ^ nlOO1lO))) & (~ (nlO11ll ^ nlOO1Oi))) & (~ (nlO11lO ^ nlOO1Ol))) & (~ (nlO11Oi ^ nlOO1OO))) & (~ (nlO11Ol ^ nlOO01i))),
10223
                nl0O01i = (nllOi1l & (~ nllO1Ol)),
10224
                nl0O01l = (nlO11OO & nl0O01O),
10225
                nl0O01O = ((((((((((((((((~ (nlO111i ^ nlOO11l)) & (~ (nlO111l ^ nlOO10i))) & (~ (nlO111O ^ nlOO10l))) & (~ (nlO110i ^ nlOO10O))) & (~ (nlO110l ^ nlOO1ii))) & (~ (nlO110O ^ nlOO1il))) & (~ (nlO11ii ^ nlOO1iO))) & (~ (nlO11il ^ nlOO1li))) & (~ (nlO11iO ^ nlOO1ll))) & (~ (nlO11li ^ nlOO1lO))) & (~ (nlO11ll ^ nlOO1Oi))) & (~ (nlO11lO ^ nlOO1Ol))) & (~ (nlO11Oi ^ nlOO1OO))) & (~ (nlO11Ol ^ nlOO01i))) & (~ (nlO11OO ^ nlOO01l))) & (~ (nlO101i ^ nlOO01O))),
10226
                nl0O0ii = ((((((((((((((((~ nlO101i) & (~ nlO11OO)) & (~ nlO11Ol)) & (~ nlO11Oi)) & (~ nlO11lO)) & (~ nlO11ll)) & (~ nlO11li)) & (~ nlO11iO)) & (~ nlO11il)) & (~ nlO11ii)) & (~ nlO110O)) & (~ nlO110l)) & (~ nlO110i)) & (~ nlO111O)) & (~ nlO111l)) & (~ nlO111i)),
10227
                nl0O0il = (nlOi1OO & nllO1Oi),
10228
                nl0O0iO = (((((nlOi01i | nlOi1OO) | nlOi1Ol) | nlOi1Oi) | nlOi1lO) | nlOi1ll),
10229
                nl0O0li = (((((nlOi01l | nlOi01i) | nlOi1OO) | nlOi1Ol) | nlOi1Oi) | nlO101l),
10230
                nl0O0ll = (((((nlOi01l | nlOi01i) | nlOi1OO) | nlOi1Ol) | nlOi1ll) | nlO101l),
10231
                nl0O0lO = (((((nlOi01l | nlOi01i) | nlOi1OO) | nlOi1lO) | nlOi1ll) | nlO101l),
10232
                nl0O0Oi = (((((nlOi01l | nlOi01i) | nlOi1Oi) | nlOi1lO) | nlOi1ll) | nlO101l),
10233
                nl0O0Ol = (((((nlOi01l | nlOi1Ol) | nlOi1Oi) | nlOi1lO) | nlOi1ll) | nlO101l),
10234
                nl0O0OO = (((((nlOi1OO | nlOi1Ol) | nlOi1Oi) | nlOi1lO) | nlOi1ll) | nlO101l),
10235
                nl0O10i = ((nliilOl & (~ nliilOi)) & (~ nliillO)),
10236
                nl0O10l = (((((nlil1Ol & nlil1Oi) & (~ nlil1lO)) & (~ nlil1ll)) & (~ nlil1li)) & nlil10i),
10237
                nl0O10O = ((nlil01l & (~ nlil01i)) & (~ nlil1OO)),
10238
                nl0O11O = (((((nliilll & nliilli) & (~ nliiliO)) & (~ nliilil)) & (~ nliilii)) & nliil1i),
10239
                nl0O1ii = ((((((((((((((((((((~ (nliOOli ^ nl0i0i)) & (~ (nliOOll ^ nl0i0O))) & (~ (nliOOlO ^ nl0iii))) & (~ (nliOOOi ^ nl0iil))) & (~ (nliOOOl ^ nl0iiO))) & (~ (nliOOOO ^ nl0ili))) & (~ (nll111i ^ nl0ill))) & (~ (nll111l ^ nl0ilO))) & (~ (nll111O ^ nl0iOi))) & (~ (nll110i ^ nl0iOl))) & (~ (nll110l ^ nl0iOO))) & (~ (nll110O ^ nl0l1l))) & (~ (nll11ii ^ nl0l1O))) & (~ (nll11il ^ nl0l0i))) & (~ (nll11iO ^ nl0l0O))) & (~ (nll11li ^ nl0lii))) & (~ (nll11ll ^ nl0lil))) & (~ (nll11lO ^ nl0liO))) & (~ (nll11Oi ^ nl0lll))) & (~ (nll11Ol ^ nl0lOi))),
10240
                nl0O1il = ((~ nl0O1iO) & nlOl10i),
10241
                nl0O1iO = ((nll001i & nll01OO) & (~ nll01lO)),
10242
                nl0O1li = ((((((((((((((((((((~ (nllil0l ^ nl0i0i)) & (~ (nllil0O ^ nl0i0O))) & (~ (nllilii ^ nl0iii))) & (~ (nllilil ^ nl0iil))) & (~ (nlliliO ^ nl0iiO))) & (~ (nllilli ^ nl0ili))) & (~ (nllilll ^ nl0ill))) & (~ (nllillO ^ nl0ilO))) & (~ (nllilOi ^ nl0iOi))) & (~ (nllilOl ^ nl0iOl))) & (~ (nllilOO ^ nl0iOO))) & (~ (nlliO1i ^ nl0l1l))) & (~ (nlliO1l ^ nl0l1O))) & (~ (nlliO1O ^ nl0l0i))) & (~ (nlliO0i ^ nl0l0O))) & (~ (nlliO0l ^ nl0lii))) & (~ (nlliO0O ^ nl0lil))) & (~ (nlliOii ^ nl0liO))) & (~ (nlliOil ^ nl0lll))) & (~ (nlliOiO ^ nl0lOi))),
10243
                nl0O1ll = (wire_nlO0O1i_o | (wire_nlO0lOl_o | (wire_nlO0Oii_o | wire_nlO0O1O_o))),
10244
                nl0O1lO = ((nlOi01l | wire_nlO0O1O_o) | nl0O1Oi),
10245
                nl0O1Oi = (nlOi1Oi & wire_nlO0lOl_o),
10246
                nl0O1Ol = ((((((((((((((~ (nlO111i ^ nllOi0l)) & (~ (nlO111l ^ nllOO1i))) & (~ (nlO111O ^ nllOO1l))) & (~ (nlO110i ^ nllOO1O))) & (~ (nlO110l ^ nllOO0i))) & (~ (nlO110O ^ nllOO0l))) & (~ (nlO11ii ^ nllOO0O))) & (~ (nlO11il ^ nllOOii))) & (~ (nlO11iO ^ nllOOil))) & (~ (nlO11li ^ nllOOiO))) & (~ (nlO11ll ^ nllOOli))) & (~ (nlO11lO ^ nllOOll))) & (~ (nlO11Oi ^ nllOOlO))) & (~ (nlO11Ol ^ nllOOOi))),
10247
                nl0O1OO = ((((((((wire_nlO0lli_o ^ nlO101l) | (nlOi1ll ^ wire_nlO0llO_o)) | (nlOi1lO ^ wire_nlO0lOl_o)) | (nlOi1Oi ^ wire_nlO0O1i_o)) | (nlOi1Ol ^ wire_nlO0O1O_o)) | (nlOi1OO ^ wire_nlO0O0l_o)) | (nlOi01i ^ wire_nlO0Oii_o)) | (nlOi01l ^ wire_nlO0OiO_o)),
10248
                nl0Oi0i = (nllOOOO & nllO1Oi),
10249
                nl0Oi0l = (nllO1lO & nlliOli),
10250
                nl0Oi0O = ((~ nllOOOO) & nllO1Oi),
10251
                nl0Oi1i = (nll0lll & nll01il),
10252
                nl0Oi1l = (((~ nllOOOO) | (~ nllO1Oi)) & nll0lll),
10253
                nl0Oi1O = (nllO1lO & (~ nlliOli)),
10254
                nl0Oiii = ((((nll11OO | (~ nliOlOi)) | wire_nliliil_dout) | ((((~ nlOi1lO) & (~ nlOi1ll)) & (~ nlO101l)) & nlOO00i)) | (nlOi01O ^ wire_nlili0O_dout)),
10255
                nl0Oiil = (((((((n10lOi & n10llO) & n10lll) & n10lli) & n10liO) & n10lil) & n10lii) & (~ n10l0O)),
10256
                nl0OiiO = (n1010O | n1010l),
10257
                nl0Oili = (wire_n111il_o & (n11Oll | (n11OlO | nl0OiiO))),
10258
                nl0Oill = (wire_n111il_o & (nlOOlOO | (n11OiO | (n11Oli | nl0OiiO)))),
10259
                nl0OilO = (wire_n111il_o | wire_n1111O_dataout),
10260
                nl0OiOi = ((((((((((((((((n101ll | n101li) | n101iO) | n101il) | n101ii) | n1010O) | n1010l) | n1010i) | n1011O) | n1011l) | n1011i) | n11OOO) | n11OOl) | n11OOi) | n11OlO) | n11Oll) | n11Oli),
10261
                nl0OiOl = ((((((((((((((((n101ll | n101li) | n101iO) | n101il) | n101ii) | n1010O) | n1010l) | n1010i) | n1011O) | n1011l) | n1011i) | n11OOO) | n11OOl) | n11OOi) | n11Oli) | n11OiO) | nlOOlOO),
10262
                nl0OiOO = ((((((((((((((((n101ll | n101li) | n101iO) | n101il) | n1010O) | n1010i) | n1011O) | n1011l) | n1011i) | n11OOO) | n11OOl) | n11OOi) | n11OlO) | n11Oll) | n11Oli) | n11OiO) | nlOOlOO),
10263
                nl0Ol0i = ((((((((((n101ll | n101li) | n101iO) | n1010i) | n1011O) | n1011l) | n1011i) | n11OOO) | n11OOl) | n11OOi) | nlOOlOO),
10264
                nl0Ol0l = ((((((((((((((((n101ll | n101iO) | n101il) | n101ii) | n1010O) | n1010l) | n1010i) | n1011O) | n1011l) | n1011i) | n11OOO) | n11OOl) | n11OOi) | n11OlO) | n11Oli) | n11OiO) | nlOOlOO),
10265
                nl0Ol0O = ((((((((((n101iO | n101il) | n101ii) | n1010O) | n1011O) | n1011l) | n1011i) | n11OOi) | n11OlO) | n11Oli) | n11OiO),
10266
                nl0Ol1i = ((((((((((((n101ll | n101il) | n101ii) | n1010O) | n1010l) | n1010i) | n1011O) | n11OOi) | n11OlO) | n11Oll) | n11Oli) | n11OiO) | nlOOlOO),
10267
                nl0Ol1l = (((((((((((((((n101ll | n101li) | n101il) | n101ii) | n1010O) | n1010l) | n1010i) | n1011i) | n11OOO) | n11OOl) | n11OOi) | n11OlO) | n11Oll) | n11Oli) | n11OiO) | nlOOlOO),
10268
                nl0Ol1O = ((((((((((((((((n101ll | n101iO) | n101il) | n101ii) | n1010O) | n1010l) | n1011O) | n1011l) | n1011i) | n11OOO) | n11OOl) | n11OOi) | n11OlO) | n11Oll) | n11Oli) | n11OiO) | nlOOlOO),
10269
                nl0Olii = (((((((((((((((n101ll | n101il) | n101ii) | n1010O) | n1010l) | n1010i) | n1011l) | n1011i) | n11OOO) | n11OOl) | n11OOi) | n11OlO) | n11Oll) | n11Oli) | n11OiO) | nlOOlOO),
10270
                nl0Olil = (((((((((((((((n101li | n101iO) | n101il) | n101ii) | n1010O) | n1010l) | n1010i) | n1011O) | n1011l) | n1011i) | n11OOO) | n11OlO) | n11Oll) | n11Oli) | n11OiO) | nlOOlOO),
10271
                nl0OliO = (n10lOl & nl0Olli),
10272
                nl0Olli = (((((((n10lOi & n10llO) & n10lll) & n10lli) & (~ n10liO)) & n10lil) & n10lii) & n10l0O),
10273
                nl0Olll = (n10OlO & nl0OO0l),
10274
                nl0OllO = ((~ n10OlO) & nl0OlOO),
10275
                nl0OlOi = (((((((n10lOi & n10llO) & n10lll) & n10lli) & n10liO) & n10lil) & (~ n10lii)) & n10l0O),
10276
                nl0OlOl = (n10OlO & nl0OlOO),
10277
                nl0OlOO = (n10lOl & nl0OlOi),
10278
                nl0OO0i = ((~ nll0i0l) & (~ nl0OO0l)),
10279
                nl0OO0l = (n10lOl & nl0OOil),
10280
                nl0OO0O = (nll0i0l & ((~ n10lOl) & n10l0i)),
10281
                nl0OO1i = ((~ n10OlO) & (~ n10lOl)),
10282
                nl0OO1l = (n10OlO & nl0OO0l),
10283
                nl0OO1O = (n10lOl | n10l0l),
10284
                nl0OOii = (nll0i0l & (n10l0i & ((n10lOl & (~ nl0OOll)) & (~ nl0OOil)))),
10285
                nl0OOil = (((((((n10lOi & (~ n10llO)) & n10lll) & n10lli) & n10liO) & n10lil) & (~ n10lii)) & (~ n10l0O)),
10286
                nl0OOiO = (nll0i0l & nl0OOli),
10287
                nl0OOli = (n10lOl & nl0OOll),
10288
                nl0OOll = (((((((n10lOi & n10llO) & n10lll) & n10lli) & n10liO) & (~ n10lil)) & n10lii) & n10l0O),
10289
                nl0OOlO = ((~ nll0i0l) & n10l0l),
10290
                nl0OOOi = ((~ n10lOl) & (nl0OOOO | nl0OOOl)),
10291
                nl0OOOl = (((((((n10lOi & (~ n10llO)) & n10lll) & n10lli) & (~ n10liO)) & n10lil) & (~ n10lii)) & n10l0O),
10292
                nl0OOOO = ((((((((~ n10lOi) & n10llO) & (~ n10lll)) & (~ n10lli)) & (~ n10liO)) & (~ n10lil)) & n10lii) & (~ n10l0O)),
10293
                nli000l = ((nli000O | ((~ n11ll) & n10il)) | n11OO),
10294
                nli000O = (nlii00i & (~ n10lO)),
10295
                nli001i = (((((~ address[0]) & address[1]) & address[2]) & address[3]) & (~ address[4])),
10296
                nli001l = (nli01Ol & (~ nlli1i)),
10297
                nli00ii = (nli00il | ((~ n101i) & n1i1l)),
10298
                nli00il = (nlii00i & (~ n1i0O)),
10299
                nli00iO = (nli0iii & n1l1i),
10300
                nli00li = (nli00ll | (((~ nli0iii) | n1iOO) & n1l1i)),
10301
                nli00ll = (nlii00i & (~ n1l0O)),
10302
                nli00lO = (nli0i0i & n1O0i),
10303
                nli00Oi = (nli00Ol | (((~ nli0i0i) | n1O1O) & n1O0i)),
10304
                nli00Ol = (nli0i0l & (~ n1Oll)),
10305
                nli00OO = (nlii00i & (~ n01lO)),
10306
                nli010l = (((((((nlllll & nlllli) & nllliO) & nlllil) & nlllii) & nlll0O) & nlll0l) & (~ nlll0i)),
10307
                nli010O = (nl00ii & wire_nlll1O_o),
10308
                nli011i = (((((~ nlO1Ol) & (~ nlO1Oi)) & (~ nlO1lO)) & (~ nlO1ll)) & (~ nlO1li)),
10309
                nli01ii = (wire_nlOi0O_o | wire_nlOi0i_o),
10310
                nli01il = (nlliOO | nlliOi),
10311
                nli01iO = (nlliOO | nlli1i),
10312
                nli01li = (nli001l & (~ nlliOi)),
10313
                nli01ll = ((~ read) & write),
10314
                nli01lO = ((nli01Ol & (~ nlliOi)) & nli01Oi),
10315
                nli01Oi = ((((address[0] & (~ address[1])) & address[2]) & (~ address[3])) & (~ address[4])),
10316
                nli01Ol = (read & (~ write)),
10317
                nli01OO = (nli001l & nli001i),
10318
                nli0i0i = (n11Ol & n1lii),
10319
                nli0i0l = (nlii00i | nli0i0O),
10320
                nli0i0O = ((~ n11Ol) & n1OlO),
10321
                nli0i1i = (nli0i1O & (~ n00ll)),
10322
                nli0i1l = (n1i1l | n011O),
10323
                nli0i1O = (nlii00i | nli0i0O),
10324
                nli0iii = ((~ n11lO) & n1iiO),
10325
                nli0iil = (n1O0i | n01OO),
10326
                nli0iiO = ((((((((~ nl01l) & nl1OO) & (~ nl1Ol)) & (~ nl1Oi)) & (~ nl1lO)) & (~ nl1ll)) & nl1li) & (~ nl1iO)),
10327
                nli0ili = (((~ nl1ii) & nli0l1l) | (nl1ii & nli0l1i)),
10328
                nli0ill = ((((((((~ nl01l) & nl1OO) & (~ nl1Ol)) & (~ nl1Oi)) & (~ nl1lO)) & (~ nl1ll)) & (~ nl1li)) & nl1iO),
10329
                nli0ilO = ((((((((~ nl01l) & nl1OO) & (~ nl1Ol)) & (~ nl1Oi)) & (~ nl1lO)) & nl1ll) & nl1li) & nl1iO),
10330
                nli0iOi = ((((((((~ nl01l) & nl1OO) & (~ nl1Ol)) & (~ nl1Oi)) & nl1lO) & (~ nl1ll)) & nl1li) & nl1iO),
10331
                nli0iOl = ((((((((~ nl01l) & nl1OO) & (~ nl1Ol)) & nl1Oi) & (~ nl1lO)) & (~ nl1ll)) & nl1li) & nl1iO),
10332
                nli0iOO = ((((((((~ nl01l) & nl1OO) & (~ nl1Ol)) & (~ nl1Oi)) & (~ nl1lO)) & (~ nl1ll)) & nl1li) & nl1iO),
10333
                nli0l0O = (((((((nl01l & (~ nl1OO)) & nl1Ol) & (~ nl1Oi)) & (~ nl1lO)) & (~ nl1ll)) & (~ nl1li)) & nl1iO),
10334
                nli0l1i = (nl10l & (~ wire_nl0ii_runningdisp[0])),
10335
                nli0l1l = (nl10l & wire_nl0ii_runningdisp[0]),
10336
                nli0l1O = (((((((nl01l & (~ nl1OO)) & nl1Ol) & (~ nl1Oi)) & (~ nl1lO)) & (~ nl1ll)) & nl1li) & (~ nl1iO)),
10337
                nli0lOO = (((((((nl01l & (~ nl1OO)) & nl1Ol) & (~ nl1Oi)) & (~ nl1lO)) & nl1ll) & nl1li) & nl1iO),
10338
                nli0O0O = (((((((nl01l & (~ nl1OO)) & nl1Ol) & (~ nl1Oi)) & nl1lO) & nl1ll) & (~ nl1li)) & (~ nl1iO)),
10339
                nli0O1i = (((((((nl01l & (~ nl1OO)) & nl1Ol) & nl1Oi) & (~ nl1lO)) & nl1ll) & (~ nl1li)) & (~ nl1iO)),
10340
                nli0Oll = (((((((nl01l & (~ nl1OO)) & nl1Ol) & nl1Oi) & nl1lO) & nl1ll) & (~ nl1li)) & (~ nl1iO)),
10341
                nli100i = ((~ n00Oll) & (~ n00Oli)),
10342
                nli100l = ((~ n00Oll) & n00Oli),
10343
                nli100O = ((((((n001iO & n001il) & (~ n001ii)) & (~ n0010O)) & (~ n0010l)) & n0010i) & n0011i),
10344
                nli101i = (nlOl11i | n01l0l),
10345
                nli101l = ((((((n001iO & n001il) & (~ n001ii)) & (~ n0010O)) & (~ n0010l)) & n0010i) & (~ n0011i)),
10346
                nli101O = (((((((~ n001iO) & (~ n001il)) & (~ n001ii)) & n0010O) & (~ n0010l)) & (~ n0010i)) & (~ n0011i)),
10347
                nli10ii = (n00Oil | nli10ll),
10348
                nli10il = (n00Oil | (~ nli10ll)),
10349
                nli10iO = ((~ n00Oil) & (~ n0l11l)),
10350
                nli10li = ((~ n00Oil) & (nli10ll & (~ n0l11l))),
10351
                nli10ll = (n00Oll & (~ n00Oli)),
10352
                nli10lO = ((~ n00lOO) & n001li),
10353
                nli10Oi = (nlil1il & n0li0O),
10354
                nli10Ol = (wire_n01ill_dout[1] & (~ wire_n01ill_dout[0])),
10355
                nli10OO = (wire_n01ill_dout[1] & (~ wire_n01ill_dout[0])),
10356
                nli110i = (((((((((((((n011ii | n0110O) | n0110l) | n0110i) | n0111O) | n0111l) | n0111i) | n1OOOO) | n1OOOl) | n1OOOi) | n1OOlO) | n1OOll) | n1OOli) | n1OOiO),
10357
                nli110l = ((((((((((((n011il | n0110l) | n0110i) | n0111O) | n0111i) | n1OOOO) | n1OOOl) | n1OOOi) | n1OOlO) | n1OOll) | n1OOli) | n1OOiO) | n1i0li),
10358
                nli110O = ((((((((((((n011ii | n0110O) | n0110l) | n0110i) | n0111O) | n0111l) | n0111i) | n1OOOO) | n1OOOl) | n1OOOi) | n1OOll) | n1OOli) | n1OOiO),
10359
                nli111i = (wire_n1Ol1i_o & n1i1il),
10360
                nli111l = ((~ n011il) & wire_n1Ol1i_o),
10361
                nli111O = (wire_n1Oill_o & niii0l),
10362
                nli11ii = ((((((((((n011ii | n0110O) | n0110l) | n0111O) | n0111l) | n1OOOO) | n1OOOl) | n1OOOi) | n1OOll) | n1OOli) | n1OOiO),
10363
                nli11il = (nli11ll & wire_n1i11O_dout),
10364
                nli11iO = ((~ wire_n1i10i_dout) & (~ wire_n1i11O_dout)),
10365
                nli11li = ((~ nii00l) | (~ wire_n1i11O_dout)),
10366
                nli11ll = ((niii0l & nii00l) & n1i1il),
10367
                nli11lO = ((((~ niii0l) & nii00l) & (~ n1i1il)) & wire_n1i11O_dout),
10368
                nli11Oi = (((wire_n1i1ii_dout & (~ wire_n1i10i_dout)) & (~ n1i1il)) & (~ wire_n1i11O_dout)),
10369
                nli11Ol = (nlOl11i & nlOiOOi),
10370
                nli11OO = ((~ nli101i) & n0l11l),
10371
                nli1i0i = (nliil0l & (niO1ii & (~ ni1lOl))),
10372
                nli1i0l = (nliil0l & ((~ niO1ii) & ni1lOl)),
10373
                nli1i0O = (nliil0l & (niO1ii & ni1lOl)),
10374
                nli1i1i = (ni10OO | n0OOli),
10375
                nli1i1l = (ni10OO | ni10Ol),
10376
                nli1i1O = (nliil0l & ((~ niO1ii) & (~ ni1lOl))),
10377
                nli1iii = (nliil0l & nli1l1O),
10378
                nli1iil = ((((((niiill & niiili) & (~ niiiiO)) & (~ niiiil)) & (~ niiiii)) & niii0O) & (~ niii1l)),
10379
                nli1iiO = (((((((~ niiill) & (~ niiili)) & (~ niiiiO)) & niiiil) & (~ niiiii)) & (~ niii0O)) & (~ niii1l)),
10380
                nli1ili = ((~ nil00l) & (~ nil00i)),
10381
                nli1ill = ((~ nil00l) & nil00i),
10382
                nli1ilO = ((((((niiill & niiili) & (~ niiiiO)) & (~ niiiil)) & (~ niiiii)) & niii0O) & niii1l),
10383
                nli1iOi = (nil01l | nli1l1l),
10384
                nli1iOl = (nil01l | (~ nli1l1l)),
10385
                nli1iOO = ((~ ni0lii) & (~ nil01l)),
10386
                nli1l0i = (niO00i & (~ niO01O)),
10387
                nli1l0l = (((((~ nl1Oll) & (~ nl1Oli)) & nl1OiO) & (~ nl1Oil)) & nl1l0O),
10388
                nli1l0O = (nll00i & (nlii1O & (nl00Oi & nl00ll))),
10389
                nli1l1i = ((~ nil01l) & ((~ ni0lii) & nli1l1l)),
10390
                nli1l1l = (nil00l & (~ nil00i)),
10391
                nli1l1O = (nliil0l & (niO1ii & (~ ni0O0O))),
10392
                nli1lii = ((~ nl00Oi) & nl00ll),
10393
                nli1lil = ((((nlO1Ol & (~ nlO1Oi)) & nlO1lO) & (~ nlO1ll)) & nlO1li),
10394
                nli1liO = ((((nlO1Ol & (~ nlO1Oi)) & nlO1lO) & nlO1ll) & (~ nlO1li)),
10395
                nli1lli = (nli1lll & nlO1OO),
10396
                nli1lll = ((((nlO1Ol & (~ nlO1Oi)) & nlO1lO) & (~ nlO1ll)) & (~ nlO1li)),
10397
                nli1lOl = (nli1lOO & nlO1OO),
10398
                nli1lOO = ((((nlO1Ol & (~ nlO1Oi)) & (~ nlO1lO)) & nlO1ll) & nlO1li),
10399
                nli1O0i = ((((nlO1Ol & (~ nlO1Oi)) & (~ nlO1lO)) & (~ nlO1ll)) & (~ nlO1li)),
10400
                nli1O0l = (((((~ nlO1Ol) & (~ nlO1Oi)) & nlO1lO) & nlO1ll) & (~ nlO1li)),
10401
                nli1O1i = (nli1O1l & nlO1OO),
10402
                nli1O1l = ((((nlO1Ol & (~ nlO1Oi)) & (~ nlO1lO)) & nlO1ll) & (~ nlO1li)),
10403
                nli1O1O = (nli1O0i & nlO1OO),
10404
                nli1OiO = (nli1Oli & nlO1OO),
10405
                nli1Oli = (((((~ nlO1Ol) & (~ nlO1Oi)) & nlO1lO) & (~ nlO1ll)) & (~ nlO1li)),
10406
                nli1Oll = (((((~ nlO1Ol) & (~ nlO1Oi)) & (~ nlO1lO)) & (~ nlO1ll)) & nlO1li),
10407
                nli1OOO = (nli011i & nlO1OO),
10408
                nlii00i = ((nlili | nli0O) | (~ (nlii00l14 ^ nlii00l13))),
10409
                nlii0iO = 1'b0,
10410
                nlii0li = (reset_tx_clk | nliiiiO),
10411
                nlii0ll = (reset_rx_clk | nliii0O),
10412
                nlii0lO = 1'b1,
10413
                nlii11i = (((((((nl01l & (~ nl1OO)) & (~ nl1Ol)) & nl1Oi) & nl1lO) & nl1ll) & (~ nl1li)) & (~ nl1iO)),
10414
                nlii1il = (((((((nl01l & nl1OO) & nl1Ol) & nl1Oi) & nl1lO) & nl1ll) & (~ nl1li)) & (~ nl1iO)),
10415
                nlii1Oi = ((((((((~ nl01l) & (~ nl1OO)) & (~ nl1Ol)) & nl1Oi) & nl1lO) & nl1ll) & (~ nl1li)) & (~ nl1iO)),
10416 9 jefflieu
                pcs_pwrdn_out = nliO0O,
10417 20 jefflieu
                readdata = {nlO1il, nlO1ii, nlO10O, nlO10l, nlO10i, nlO11O, nlO11l, nlO11i, nllOOO, nllOOl, nllOOi, nllOlO, nllOll, nllOli, nllOiO, nllOil},
10418
                reconfig_fromgxb = {{4{1'b0}}, wire_nl0il_dprioout},
10419
                rx_clk = wire_nl00l_clkout,
10420
                rx_clkena = nlil1il,
10421
                rx_recovclkout = wire_nl00O_clockout,
10422
                set_10 = (((~ nl010i) & (~ nl011O)) & (nliii1l2 ^ nliii1l1)),
10423 9 jefflieu
                set_100 = ((~ nl010i) & nl011O),
10424 20 jefflieu
                set_1000 = ((nl010i & (~ nl011O)) & (nlii0OO4 ^ nlii0OO3)),
10425
                tx_clk = wire_nl00l_clkout,
10426
                tx_clkena = nliil0l,
10427
                txp = wire_nl00i_dataout,
10428
                waitrequest = nllllO;
10429 9 jefflieu
endmodule //sgmii
10430
//synopsys translate_on
10431
//VALID FILE

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.